在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13662|回复: 8

[求助] 如何用vcs编译verilog+vhdl

[复制链接]
发表于 2012-5-3 14:07:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我用的编译命令是vcs -RI +v2k -f  **.lst ,编译vhdl文件报错。vhdl注释用的是--,verilog用的是//。
发表于 2012-5-3 19:47:57 | 显示全部楼层
可以使用VCSMX,专门用于verilog和vhdl混合仿真的
发表于 2012-5-4 10:23:54 | 显示全部楼层
学习了,VCSMX
之前我都是用vlogan 和vhdlan分别进行的,现在学习了用VCSMX来混合仿真,多谢
发表于 2014-8-16 22:24:45 | 显示全部楼层
可以使用VCSMX
发表于 2014-10-30 16:17:11 | 显示全部楼层
我们的项目现在也有vhdl。 我怎么找不到这个命令呢
发表于 2015-4-2 11:05:36 | 显示全部楼层
回复 1# ckzuan


   请问这个问题解决了吗?现在也遇到这个问题了,用vcs可以去编译仿真的吧,不要非得安装vcsmx吧?恳请回复,谢谢!
发表于 2015-4-2 16:35:10 | 显示全部楼层
我用的是vcsmx201209
发表于 2016-4-15 10:59:33 | 显示全部楼层
回复 3# cheernixue

能请教一下怎么一起编译的吗?
发表于 2017-4-7 09:58:17 | 显示全部楼层
回复 4# ra3d


   用vcsmx如何混仿?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 03:51 , Processed in 0.019593 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表