在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4978|回复: 4

[求助] 关于UVM factory机制的疑惑

[复制链接]
发表于 2012-4-1 17:36:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家好,本人最近在学习UVM,看到factory机制有如下疑问:



`define m_uvm_object_registry_internal(T,S) \
          typedef uvm_object_registry #(T,'"S'") type_di; \

如果一个类A注册进了factory就可以通过

A::type_id::created() 函数来例化类,有现在的问题是 我的类A是从uvm_object中派生而来,但是我并没有在uvm_object中找到一个变量是uvm_object_registry的变量啊,既然是通过::来调用,uvm_object 中应该有uvm_object_registry的变量或函数啊??

不知我的理解那里有错,想了很久都没想通。
还望各位多多赐教啊
发表于 2012-4-4 12:47:29 | 显示全部楼层
uvm_object_registry是一个wrapper,不是一个变量!是由uvm_object_wrapper扩展而来的!
 楼主| 发表于 2012-4-4 21:04:09 | 显示全部楼层
回复 2# anychao


    多谢这位仁兄,我觉得uvm_object_registry 应该和uvm_object 应该有一定关系啊,不然它怎么能够去调用uvm_object_registry的方法啊,但是这个关系我现在还找不到啊,不知道他们是怎么联系起来的。
发表于 2012-4-4 22:30:15 | 显示全部楼层
你知不知道什么是wrapper,我建议你先看一下Mark glasser的OVM cookbook,论坛上面有,这本书中有一节讲factory的,可以看一下!wrapper中有一个creat_object函数就是返回那个object的!
 楼主| 发表于 2012-4-5 17:52:17 | 显示全部楼层
以前没学过OVM,看了OVM的cookbook,已经明白了,原来是typedef wrapper#( ) type_id来创建的,以前一直以为typedef只有申明的作用,想不到还有创建的作用。多谢anychao。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 13:27 , Processed in 0.024434 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表