在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: cihchenlin

请问ncverilog怎么连接debussy

[复制链接]
发表于 2010-2-9 22:10:00 | 显示全部楼层
我只知道modelsim怎么调debussy的PLI,nc进公司的时候就可以调了,没去想过如何调。
发表于 2010-12-28 10:50:04 | 显示全部楼层
回复 22# sh_0119


謝謝啦!

modelsim的話在 modelsim.ini 中加入:

;set to Debussy simulation Environment
Veriuser = c:\Novas\Debussy\share\PLI\modelsim_pli54\WINNT\novas.dll
发表于 2011-2-20 01:25:12 | 显示全部楼层
回复 23# kaku817

我的操作如下:
1. 安装Modelsim和Debussy并正确设置好license,二者独立都可以用;
2.将Debussy安装目录下share\PLI\modelsim_pli54\WINNT\novas.dll拷贝到 Modelsim安装目录下win32目录下;
3.修改Modelsim安装目录下modelsim.ini文件,添加Veriuser = novas.dll ;
4.将Debussy安装目录下share\PLI\modelsim_pli54\WINNT\novas_vlog.v拷贝到 工程目录的源代码目录下;
5.Testbench里面使用novas/debussy的PLI来dump波形 --------------------------------------------------------------

initial

begin

    $fsdbDumpfile("info_fetch_tb.fsdb");

    $fsdbDumpvars;

end


6.运行modelsim,编译novas_vlog.v ,设计的verilog代码,测试激励verilog;

7.调用仿真时,报如下问题:

   # vsim -voptargs=+acc work.test
# Loading work.test(fast)
# Loading work.dcdc(fast)
# ** Warning: (vsim-PLI-3003) F:/modelsim_design/dcdc/test.v(22): [TOFD] - System task or function '$fsdbDumpfile' is not defined.
#         Region: /test
# ** Warning: (vsim-PLI-3003) F:/modelsim_design/dcdc/test.v(23): [TOFD] - System task or function '$fsdbDumpvars' is not defined.
#         Region: /test


为什么呢?

发表于 2011-2-21 22:48:04 | 显示全部楼层
回复 13# sh_0119


Maybe you can try to put 'novas.dll' in the same directory of 'modelsim.ini'
发表于 2011-2-21 23:26:23 | 显示全部楼层
放到同一个目录了,还是不行,报的一样warning
发表于 2011-2-22 00:16:01 | 显示全部楼层
在modelsim中用命令
vsim -pli novas.dll  test
可以实现PLI调用了,并且可以产生fsdb文件了。好奇怪,不晓得在modelsim.ini文件中连接为什么不可以。。。
还有一个问题是用debussy查看波形的时候速度很慢,比如放大缩小要很长的反应时间,是因为我的电脑速度慢还是debussy看波形本身就比较慢?
发表于 2011-2-22 14:47:01 | 显示全部楼层
是不是波形很大?
如果VCD文件需要转成FSDB先,如果是FSDB,缩放应该很快的
发表于 2013-7-22 21:18:37 | 显示全部楼层
VHDL dump波形  1、在debussy目录下找到novas.vhd  C:\Novas\Debussy\share\PLI\modelsim_fli54\WINNT   把这个文件编译到novas库中去 vlib novas   vmap novas novas   vcom -work novas ./novas.vhd          仿真的时候把库加进去:  vsim  -novopt  -suppress 3829 +OVM_TESTNAME=gmii_test -L AXXLIB -L t0dLIB  -L novas -L work work.BpiRxIfTb    2、在vhdl的顶层文件中调用库 LIBRARY novas;     USE novas.pkg.all;   再加入dump的语句到process中去    process      begin       fsdbDumpfile("vhdl.fsdb");       fsdbDumpvars(2,"i_BpiRxIf");    --“2”是层次,”i_bpirxif”是要dump波形的模块      wait      end process;    Verilog dump波形  1、在debussy目录下找到novas.dll文件,拷贝到仿真工程目录或者直接在modelsim.ini中加入这句话Veriuser = novas.dll  (可以加目录指定位置)   2、顶层加入这些语句   initial   begin    $fsdbAutoSwitchDumpfile(200,"./123.fsdb",80);  //循环存储,每个80M,最多                         //200个文件    $fsdbDumpvars;   end     3、仿真时指定所用的novas链接库 vsim -pli novas.dll …  
如果在linux系统下仿真,则要改成则需要把novas.dll替换成novas_fli.so文件,此文件同样可以再debyssy的安装目录下找到.
发表于 2013-11-16 13:19:06 | 显示全部楼层
+loadpli1=deb_PLIPtr
发表于 2014-9-12 16:37:15 | 显示全部楼层
ding~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

X

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-7-3 20:51 , Processed in 0.021596 second(s), 7 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表