在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 14483|回复: 35

[原创] 关于在VCS 中生成fsdb文件,也就是用verdi来调试的文件按

[复制链接]
发表于 2011-1-10 11:56:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我试了好多次,都提示说,无法使用
     $fsdbDumpfile      
     $fsdbDumpvars
这两个任务

好像我看了一下vcs的编译文件,还真的是没有这两个东西。

请问一下,怎么在测试文件中生成用于verdi 调试的fsdb文件呢??
发表于 2011-1-10 14:58:42 | 显示全部楼层
回复 1# dlb05061131


    查一下verdi的reference manual, 有一个环境变量需要设置的。
发表于 2011-1-10 16:18:40 | 显示全部楼层
在VCS命令后面加支持verdi的PLI接口
发表于 2011-1-10 21:25:18 | 显示全部楼层
chlor说的是对的,即vcs命令行中 +PLI部分,要指到你安装的verdi或debussy的相关动态库所在的位置。
发表于 2011-1-10 23:22:50 | 显示全部楼层
这个一般卸载TB里,呵呵
 楼主| 发表于 2011-1-12 14:01:44 | 显示全部楼层
呵呵,谢谢大家。我也找到了正确的方法了。
这是我找到的方法,在编译文件里边加上这两个东西就好了。-P ../novas/verdi/share/PLI/vcsd70/LINUX/vcsd.tab   ../novas/verdi/share/PLI/vcs70/LINUX/pli.a
发表于 2011-1-21 17:47:34 | 显示全部楼层
你要制定LD_LIBRARY_PATH啊,-P是这个选项
发表于 2011-1-24 22:04:44 | 显示全部楼层
学习了。。。。。
发表于 2011-1-25 22:06:10 | 显示全部楼层
天天好家伙,哈哈
发表于 2011-3-14 14:01:19 | 显示全部楼层
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-1 21:13 , Processed in 0.036389 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表