在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 663|回复: 5

[求助] 请教怎么将数字输出用VerilogA建模,在virtuoso里仿真

[复制链接]
发表于 2023-11-6 20:44:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟最近在做Sigma Delta DAC,设计后级开关电容DAC(SC DAC)时有一些仿真问题,看论文说是通过将前级数字DSM调制器或者matlab中simulink模型的输出用veriloga建模,作为模拟开关电容DAC的开关控制信号输入,去进行仿真。

想请教这个VerilogA文件是如何实现呢?
是否有别的仿真方法,比如利用激励源,将matlab输出导入到激励源中去?
感谢各位指教
微信图片_20231106204413.png
 楼主| 发表于 2023-11-6 21:36:50 | 显示全部楼层
顶一下顶一下,欢迎各位讨论
发表于 2023-11-7 04:17:58 来自手机 | 显示全部楼层
你可以建一个表,直接写到Verilog a, 也可以用time()「具体忘记了」来对信号采样,作为输出
发表于 2023-11-7 09:40:03 | 显示全部楼层
https://bbs.eetop.cn/thread-954555-1-1.html
这个里面有相应的例子
 楼主| 发表于 2023-11-7 12:04:15 | 显示全部楼层


songzijian87 发表于 2023-11-7 09:40
https://bbs.eetop.cn/thread-954555-1-1.html
这个里面有相应的例子


非常感谢!
 楼主| 发表于 2023-11-7 12:33:30 | 显示全部楼层


icdane 发表于 2023-11-7 04:17
你可以建一个表,直接写到Verilog a, 也可以用time()「具体忘记了」来对信号采样,作为输出 ...


非常感谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 14:31 , Processed in 0.023629 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表