大家好,小女子在VCS +VERDI 仿真UVM实战的例子时单步调试出错,请各位高手帮忙看一下,先谢谢了。
信息如下:
1.编译
vcs -full64 -fsdb +define+FSDB -sverilog $UVM_HOME/src/dpi/uvm_dpi.cc -CFLAGS -DVCS -timescale=1ns/1ps -f filelist.f -l vcs.log -debug_access+all -lca -kdb
其中 在top_tb.sv 里加上
`ifdef FSDB
initial
begin
$fsdbDumpvars(0,top_tb);
$fsdbDumpfile("top_tb.fsdb");
end
`endif
在上面的vcs compile 命令里加上 -fsdb +define+FSDB
2. ./simv -gui=verdi 执行后出现错误信息
Invoking simulator...
./simv -l sim.log -sml=verdi +UVM_VERDI_TRACE=UVM_AWARE +fsdb+gate=off -ucli2Proc -ucli
debImport "-i" "-simflow" "-dbdir" "./simv.daidir"
*Verdi* Loading libsscore_vcs201809.so
*Verdi* : FSDB parallel Dumping is disabled because only one CPU is available.
FSDB Dumper for VCS, Release Verdi_O-2018.09-SP2, Linux x86_64/64bit, 02/21/2019
(C) 1996 - 2019 by Synopsys, Inc.
*Verdi* FSDB WARNING: Simv_lock file is locked (/home/lh/verification/puvm/src/ch2/section2.2/2.2.1/inter.fsdb.slock).
*Verdi* ERROR: Failed to create FSDB file: '/home/lh/verification/puvm/src/ch2/section2.2/2.2.1/inter.fsdb'.
*Verdi* : Enable RPC Server(10760)
srcTBInvokeSim
warning 信息如下:
1>Error: Failed to enter interactive mode because
opening fsdb file is failed.
2>Simulator is terminated.
3>Simulator is terminated.
##########################
########################### Synopsys VCS VERDI设置如下 ###############################
#Set Synopsys Directory
export synopsys_dir=/opt/eda/synopsys
#SCL
export SCL_HOME=$synopsys_dir/scl2018/scl/2018.06
export PATH=$SCL_HOME/linux64/binPATH
#SNPSLMD_LICENSE_FILE
export SNPSLMD_LICENSE_FILE=27050@rfic.eda #note :27050 is generated license port , rfic.eda is hostname
#VCS
export VCS_HOME=$synopsys_dir/vcs2018/vcs/O-2018.09-SP2
export PATH=$VCS_HOME/binPATH
#note:use VCS simulate and generate fsdb waveform , it needs NOVAS_HOME viriable to got to Verdi install path
export NOVAS_HOME=$synopsys_dir/verdi2018/verdi/Verdi_O-2018.09-SP2
export PATH=$NOVAS_HOME/binPATH
#export LD_LIBRARY_PATH=$synopsys_dir/verdi2018/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/LINUX6
#DVE
export DVE_HOME=/home/synopsys/vcs/O-2018.09-SP2
export PATH=$VCS_HOME/gui/dve/bin:$PATH
#Verdi
export VERDI_HOME=$synopsys_dir/verdi2018/verdi/Verdi_O-2018.09-SP2
export PATH=$VERDI_HOME/bin:$PATH
export LD_LIBRARY_PATH=$Verdi_HOME/share/PLI/VCS/linux64:$LD_LIBRARY_PAT
############################
再次谢谢。
|