在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2485|回复: 5

[求助] VCS +VERDI 仿真时单步调试出错

[复制链接]
发表于 2023-10-19 12:39:26 | 显示全部楼层 |阅读模式
10资产
大家好,小女子在VCS +VERDI 仿真UVM实战的例子时单步调试出错,请各位高手帮忙看一下,先谢谢了。

信息如下:

1.编译

vcs -full64  -fsdb +define+FSDB -sverilog $UVM_HOME/src/dpi/uvm_dpi.cc -CFLAGS -DVCS -timescale=1ns/1ps -f filelist.f -l vcs.log -debug_access+all -lca -kdb

其中 在top_tb.sv 里加上
`ifdef FSDB
initial
begin
$fsdbDumpvars(0,top_tb);
$fsdbDumpfile("top_tb.fsdb");
end
`endif
在上面的vcs  compile 命令里加上 -fsdb +define+FSDB


2.  ./simv -gui=verdi 执行后出现错误信息

Invoking simulator...

./simv -l sim.log -sml=verdi +UVM_VERDI_TRACE=UVM_AWARE +fsdb+gate=off -ucli2Proc -ucli
debImport "-i" "-simflow" "-dbdir" "./simv.daidir"
*Verdi* Loading libsscore_vcs201809.so
*Verdi* : FSDB parallel Dumping is disabled because only one CPU is available.
FSDB Dumper for VCS, Release Verdi_O-2018.09-SP2, Linux x86_64/64bit, 02/21/2019
(C) 1996 - 2019 by Synopsys, Inc.

*Verdi* FSDB WARNING: Simv_lock file is locked (/home/lh/verification/puvm/src/ch2/section2.2/2.2.1/inter.fsdb.slock).
*Verdi* ERROR: Failed to create FSDB file: '/home/lh/verification/puvm/src/ch2/section2.2/2.2.1/inter.fsdb'.


*Verdi* : Enable RPC Server(10760)

srcTBInvokeSim

warning 信息如下:

  1>Error: Failed to enter interactive mode because
opening fsdb file is failed.
  2>Simulator is terminated.
  3>Simulator is terminated.



##########################
###########################  Synopsys VCS VERDI设置如下  ###############################
#Set Synopsys Directory
export synopsys_dir=/opt/eda/synopsys

#SCL
export SCL_HOME=$synopsys_dir/scl2018/scl/2018.06
export PATH=$SCL_HOME/linux64/binPATH

#SNPSLMD_LICENSE_FILE
export SNPSLMD_LICENSE_FILE=27050@rfic.eda    #note :27050 is generated  license port , rfic.eda is hostname

#VCS
export VCS_HOME=$synopsys_dir/vcs2018/vcs/O-2018.09-SP2
export PATH=$VCS_HOME/binPATH


#note:use VCS simulate and generate fsdb waveform , it needs NOVAS_HOME viriable to got to Verdi install path
export NOVAS_HOME=$synopsys_dir/verdi2018/verdi/Verdi_O-2018.09-SP2     
export PATH=$NOVAS_HOME/binPATH


#export LD_LIBRARY_PATH=$synopsys_dir/verdi2018/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/LINUX6

#DVE
export DVE_HOME=/home/synopsys/vcs/O-2018.09-SP2
export PATH=$VCS_HOME/gui/dve/bin:$PATH

#Verdi
export VERDI_HOME=$synopsys_dir/verdi2018/verdi/Verdi_O-2018.09-SP2
export PATH=$VERDI_HOME/bin:$PATH

export LD_LIBRARY_PATH=$Verdi_HOME/share/PLI/VCS/linux64:$LD_LIBRARY_PAT

############################
再次谢谢。












发表于 2024-1-15 11:16:42 | 显示全部楼层
加一下$finish试试
发表于 2024-1-17 17:36:29 | 显示全部楼层
把这个目录下的类似文件删了再试
/home/lh/verification/puvm/src/ch2/section2.2/2.2.1/inter.fsdb.slock

或者换个目录跑跑看。
发表于 2024-1-26 17:28:04 | 显示全部楼层
楼主问题解决了吗
发表于 2024-6-14 17:04:29 | 显示全部楼层
亲测,我有一次Ctrl+C强制中断的Verdi就出现同样的情况了。
删除仿真目录所有的inter.fsdb.*文件就好了,
发表于 2024-6-18 19:29:04 来自手机 | 显示全部楼层
删除相关文件,我也遇到过
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条


小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-14 15:35 , Processed in 0.019353 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表