在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 609|回复: 8

[求助] DAC仿真

[复制链接]
发表于 2023-9-7 16:08:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
想要在virtuoso中仿真一个10位的DAC,看一下当从0000000000~1111111111的过程中输出电压是否是线性的,请问大佬们怎么仿真。
发表于 2023-9-7 16:19:38 | 显示全部楼层
输入斜坡波,给一个理想的ADC,得到000000000~1111111111变化的数字信号作为你DAC的输入,观察输出即可
 楼主| 发表于 2023-9-7 16:23:16 | 显示全部楼层


wkp1992101 发表于 2023-9-7 16:19
输入斜坡波,给一个理想的ADC,得到000000000~1111111111变化的数字信号作为你DAC的输入,观察输出即可 ...


只有8bit的理想ADC
发表于 2023-9-7 16:25:59 | 显示全部楼层

模拟IC工作月薪情况~月薪按总包/12来算



按格式改一下verilogA文件就行了~改模型里比特数很简单的
 楼主| 发表于 2023-9-7 16:28:56 | 显示全部楼层


zhchang 发表于 2023-9-7 16:25
按格式改一下verilogA文件就行了~改模型里比特数很简单的


好的,十分感谢!顺便问一下您,如果不以这种方式的话,就是设置一个10位输入的pin,然后setup里面stimuli如何设置??
发表于 2023-9-7 17:17:10 | 显示全部楼层


spring_biubiu~ 发表于 2023-9-7 16:28
好的,十分感谢!顺便问一下您,如果不以这种方式的话,就是设置一个10位输入的pin,然后setup里面stimul ...


啊这,那就设置10组Vpulse,period由高到低位逐位减半,占空比0.5……这比改两行代码应该麻烦些吧,工作量反而大了
发表于 2023-9-7 17:21:49 | 显示全部楼层
看DNL啊,设置输入8bit信号依次变换,然后会观察到输出波形是个上升的阶梯图像,用calculator里面的dnl函数,如果计算出的结果超出+/- 1,就证明线性度不好,详情搜一下dnl的定义
 楼主| 发表于 2023-9-11 11:02:29 | 显示全部楼层


cenyusun 发表于 2023-9-7 17:21
看DNL啊,设置输入8bit信号依次变换,然后会观察到输出波形是个上升的阶梯图像,用calculator里面的dnl函数 ...


实现了,谢谢您~
 楼主| 发表于 2023-9-11 11:04:01 | 显示全部楼层


Twonej 发表于 2023-9-7 17:17
啊这,那就设置10组Vpulse,period由高到低位逐位减半,占空比0.5……这比改两行代码应该麻烦些吧,工作 ...


感谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 07:35 , Processed in 0.035944 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表