在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
EETOP诚邀模拟IC相关培训讲师 创芯人才网--重磅上线啦!
查看: 912|回复: 3

[求助] 一小段Verilog代码实现十进制转二进制,报错不知道怎么改,望指点

[复制链接]
发表于 2023-5-5 15:37:23 | 显示全部楼层 |阅读模式
50资产
本帖最后由 fd_alanYang 于 2023-5-5 15:39 编辑

小白一小段verilog代码实现十进制转二进制,报错不知道怎么改,望前辈指点

21315C2D8DA505C2BDA0FAEE14E96BAF.JPG
C52E9B7B5529CD9C76587339A1444D24.JPG
发表于 2023-5-5 16:27:23 | 显示全部楼层
语法问题,verilog里for循环对于循环计数声明一般用interger i;或者genvar i;
具体你可以搜索一下verilog for循环原理以及相关语法。
发表于 2023-5-6 04:44:12 | 显示全部楼层
generate
genvar  i;
    for (i = 0; i<10; i=i+1) begin
        always @(*) begin
        bin_num[i] = dec_num %2;
        dec_num = dec_num /2;
        end
    end
endgenerate
发表于 2023-5-6 09:18:55 | 显示全部楼层
第一点,verilog里面没有int类型,整型是integer。
第二点,未命名块内不能进行申明,简单说变量声明应该放在always块的外面。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 17:33 , Processed in 0.018844 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表