在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1405|回复: 1

[求助] 使用vcs仿真UPF时遇到问题

[复制链接]
发表于 2022-11-16 20:34:28 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 luzi 于 2022-11-17 22:17 编辑

我使用dc对verilog.v和upf_verilog.upf进行综合生成了netlist_dc.v和upf_dc.upf。在使用vcs对netlist_dc.v和upf_dc.upf进行仿真时出现错误。这是vcs脚本
run:
    vcs -full64 -sverilog \
    -l run.log -f run.f -upf ../../syn_verilog/report/top_gates.upf \
    -P $(VERDI_FSDB)/novas.tab \
    $(VERDI_FSDB)/pli.a -R -debug_all -lca -power_top TOP

这是vcs的错误信息
[0 ps] [INFO] [LP_PPN_STATE_CHANGE] State of the primary power net 'VDD_SW' of power domain 'testbench/u_top/TOP_A4' changed from OFF to UNDETERMINED.
[0 ps] [WARNING] [LP_PST_INIT_ILLEGAL] Design started with 'ILLEGAL' state (illegal) in pst 'testbench/u_top/power_state'.
[0 ps] [ERROR] [LP_PSW_CTRL_INIT_INVALID] Signal 'testbench/u_top/A5/B_SW' connected to control port 'E' of power switch 'testbench/u_top/A4_SW' started with an invalid value 'StX'.
[0 ps] [INFO] [LP_PSW_ISP_INIT_STATE] Supply net 'testbench/u_top/VDD' tied to input supply port 'PGVDD' of power switch 'testbench/u_top/A4_SW' started with state FULL_ON.
[0 ps] [INFO] [LP_PSW_ISP_INIT_VALUE] Supply net 'testbench/u_top/VDD' tied to input supply port 'PGVDD' of power switch 'testbench/u_top/A4_SW' started with voltage 1.2 V.
[0 ps] [INFO] [LP_PSW_OSP_INIT_STATE] Supply net 'testbench/u_top/VDD_SW' tied to output supply port 'VDD' of power switch 'testbench/u_top/A4_SW' started with state UNDETERMINED.
[0 ps] [INFO] [LP_PSW_OSP_INIT_VALUE] Supply net 'testbench/u_top/VDD_SW' tied to output supply port 'VDD' of power switch 'testbench/u_top/A4_SW' started with voltage 0 V.
[0 ps] [INFO] [LP_PSW_INIT_STATE] Power switch 'testbench/u_top/A4_SW' started in INVALID (ERROR) state.

错误信息好像是power_switch_cell的电压进入了不确定态。
其中TOP的电压加上了,这是vcs的信息[0 ps] [INFO] [LP_PD_INIT_STATE] Power domain 'testbench/u_top/TOP_1' started in 'NORMAL' state.
[0 ps] [INFO] [LP_PPN_INIT_STATE] Primary power net 'VDD' of power domain 'testbench/u_top/TOP_1' started in FULL_ON state.
[0 ps] [INFO] [LP_PGN_INIT_STATE] Primary ground net 'VSS' of power domain 'testbench/u_top/TOP_1' started in FULL_ON state.
[0 ps] [INFO] [LP_PPN_INIT_VALUE] Primary power net 'VDD' of power domain 'testbench/u_top/TOP_1' started with voltage 1.2 V.
[0 ps] [INFO] [LP_PGN_INIT_VALUE] Primary ground net 'VSS' of power domain 'testbench/u_top/TOP_1' started with voltage 0 V.

这是upf_dc.upf中的create_power_switch
create_power_switch A4_SW -domain TOP_A4 \
-output_supply_port {VDD VDD_SW} -input_supply_port {PGVDD VDD} -control_port {E A5/B_SW} \
-on_state {ON PGVDD {E}} -off_state {OFF {!E}}
map_power_switch A4_SW -domain TOP_A4 -lib_cells {PGH2LM}

而且在netlist_dc.v中并没有找到power_switch_cell.只找到了iso_cell。
module TOP ( O1, O2, A, B, C, D, E, IA, clk );
  input A, B, C, D, E, IA, clk;
  output O1, O2;
  wire   O_A1, O_A3, O_A4, B_SW, B_ISO, net4, n1;

  and2_3 A1 ( .O(O_A1), .A(A), .B(B) );
  and2_2 A2 ( .O(O1), .A(C), .B(O_A1) );
  and2_1 A3 ( .O(O_A3), .A(D), .B(E) );
  and2_0 A4 ( .O(net4), .A(O_A3), .B(O_A1) );
  inv2 A6 ( .A(O_A4), .O(O2) );
  pmc A5 ( .A(IA), .clk(clk), .B_SW(B_SW), .B_ISO(B_ISO) );
  ISBM2LM snps_TOP_A4__A4_ISO_snps_O_UPF_ISO ( .A(net4), .EB(n1), .Z(O_A4) ); //synopsys isolation_upf A4_ISO+TOP_A4
  CKINVM1LM U1 ( .A(B_ISO), .Z(n1) );
endmodule

我使用vcs对verilog.v和upf_verilog.upf。并没有出错。
这是vcs脚本
run_v:
    vcs -full64 -sverilog \
    -l run.log -f run_verilog.f -upf verilog.upf \
    -power_top TOP \
    -P $(VERDI_FSDB)/novas.tab \
    $(VERDI_FSDB)/pli.a -R -debug_all -lca


想要询问vcs仿真netlist_dc.v和upf_dc.upf为什么出错,以及怎么修改。



发表于 2023-9-27 09:17:53 | 显示全部楼层
楼主解决了吗?
我在进行dc综合后网表仿真出现仿真错误,断电之后,上电时 retention不能恢复数据,追踪到底层信号,发现retention的latch 的供电被关了(latch供电应该是常开),这是仿真环境问题吗?(vcs+verdi)
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 08:28 , Processed in 0.019210 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表