在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2071|回复: 12

[原创] hspice在measure的时候报错是为什么呀

[复制链接]
发表于 2022-8-26 20:42:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用spice写了这样一个网表
想知道1节点和2节点的延迟
用measure的时候报错.MEASURE  t1 never reached the trigger value, Measurement fail 出不来t1
想请大佬们指教一下
*title inverterII
.SUBCKT inverter IN OUT  Wp=310.00n Wn=270.00n
XX0 out in VDD VDD PHVT11LL_CKT W=Wp L=40.00n
XX3 out in 0 0 NHVT11LL_CKT W=Wn L=40.00n
.ends inverter
x1   IN 1  inverter
x2   2 OUT inverter
R1 1 2 40
C1 1 0 0.243pF
C2 2 0 0.032pF
vvdd VDD 0 3.3
vvin IN 0 pulse(0 3.3 0.2n 0.2n 0.2n 0.8n 2n)
.temp 27
.op
.param urall=3.3
.lib 'D:\HSPICE\40\l0040ll_v1p15.lib' tt
.option post accurate probe
.probe v(IN) v(OUT)  v(1)  v(2)
.tran 0.01n 20n
.measure tran t1 trig v(1) val=0.5 rise=1 targ v(out) val=0.5 fall=1
.end is expected



发表于 2022-8-26 22:59:44 | 显示全部楼层
你的VDD不是global的,在subckt里面没引出来,赋不进值去的。
 楼主| 发表于 2022-8-27 17:27:15 | 显示全部楼层
本帖最后由 西巴 于 2022-8-27 17:40 编辑


castrader 发表于 2022-8-26 22:59
你的VDD不是global的,在subckt里面没引出来,赋不进值去的。

非常感谢!!
 楼主| 发表于 2022-8-27 17:54:40 | 显示全部楼层


想再请教一个问题!当val不同的时候 我发现得到的t1不一样 是代表每个点的延迟都不一样嘛 这是为什么呀
发表于 2022-8-27 18:51:15 | 显示全部楼层
因为你的电路RC delay非常小,而输入vin的斜坡其实比电路响应还慢...
 楼主| 发表于 2022-8-27 19:13:19 | 显示全部楼层
本帖最后由 西巴 于 2022-8-27 19:35 编辑


castrader 发表于 2022-8-27 18:51
因为你的电路RC delay非常小,而输入vin的斜坡其实比电路响应还慢...


非常感谢!!!!!!!但还是不是很懂 那我该怎么解决这个问题呢
发表于 2022-8-27 19:41:32 | 显示全部楼层
vvin IN 0 pulse(0 3.3 0.2n 1p 1p 0.8n 2n)
发表于 2022-8-27 19:43:19 | 显示全部楼层
o
还有个巨大的问题
你用的是40nm的器件,他扛不住3.3V,你VDD最多是1.1的!

点评

太感谢您了!!不然我都发现不了这些问题  发表于 2022-8-27 19:48
 楼主| 发表于 2022-8-27 19:46:11 | 显示全部楼层


castrader 发表于 2022-8-27 19:41
vvin IN 0 pulse(0 3.3 0.2n 1p 1p 0.8n 2n)


非常谢谢!!!!!!
发表于 2022-8-27 19:53:07 | 显示全部楼层


西巴 发表于 2022-8-27 19:46
非常谢谢!!!!!!


vvin IN 0 pulse(0 1.1 0.2n 1p 1p 0.8n 2n)
这里也得是1.1
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 16:43 , Processed in 0.031313 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表