在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1716|回复: 5

[原创] uvm 的override问题

[复制链接]
发表于 2022-8-9 10:49:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
使用start启动的sequence,如何对其进行override
  • 已尝试set_type_override_by_type无效;
  • 重写的要求都满足,
  • print_override_info也有打印重写成功;
    结果并没有重写,执行的sequence依然是被重写的sequence;


请教路过的大神这种情况如何重写
image.png
 楼主| 发表于 2022-8-10 15:49:46 | 显示全部楼层
顶一下
发表于 2022-8-22 15:22:58 | 显示全部楼层
set_type_override_by_type设置的时间点很重要,如果等sequence都启动了,再overide肯定就不起作用了
发表于 2022-8-28 18:28:45 | 显示全部楼层
需要注意覆盖的时间点,要在sequence create实例化之前进行覆盖.否则已经实例化了覆盖就没用了
发表于 2022-8-31 16:18:28 | 显示全部楼层
override机制需要在build phase时使用
发表于 2022-9-1 13:59:34 | 显示全部楼层
可以在test的build_phase中set_type_override_by_type
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 02:52 , Processed in 0.022704 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表