在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2451|回复: 5

[求助] 在把从51转到61的电路进行tran仿真时出现run中途停止了

[复制链接]
发表于 2022-5-1 21:46:18 | 显示全部楼层 |阅读模式
100资产
本帖最后由 拉屎爱好者 于 2022-5-1 22:04 编辑

急急急 救救最近在做SAR ADC,原本电路都是在51版本里搭建的,但是现在要进行FFT分析51版本的我不会弄就把电路全部转到61版本里了
但是电路在进行tran仿真时出现了问题,我设定的时间是102.8us,但是它跑到2.138u就不跑了
我也试过把时间设成3us去跑,但是它同样也是跑到二点几就不跑了,不管弄多少次都是这样
设成500ns呐800ns之类的时候倒是没有问题
我这个电路完全没有动过它,就是直接从51转过来的,而且我在51版本里试过,可以完整地从0跑到102.8u,但是61里就永远只能跑到二点几波形就突然出现了
如图:
image.png
上面有出现一些报错,但是我在51版本里也有同样的warning,但是51版本里却可以跑到底

我真的试了好多好多小时了,还是这样,我也试过重新转电路,也试了好多次
但是就是不行,永远跑到二点几波形就突然弹出来了我快疯了

求各位大佬帮忙看看 我真的没办法了 万分感恩!!

image.png

最佳答案

查看完整内容

没有错误信息是最难诊断的了,我以前碰到过这个情况的,一时想不起来怎么处理的了,.....。你可以先试一下换一个版本的spectre运行同样的仿真,看看是不是和兼容性有关。
发表于 2022-5-1 21:46:19 | 显示全部楼层
没有错误信息是最难诊断的了,我以前碰到过这个情况的,一时想不起来怎么处理的了,.....。你可以先试一下换一个版本的spectre运行同样的仿真,看看是不是和兼容性有关。
 楼主| 发表于 2022-5-1 22:05:30 | 显示全部楼层
我跑完显示的全部内容如下:
Cadence (R) Virtuoso (R) Spectre (R) Circuit Simulator
Version 15.1.0.284.isr1 64bit -- 12 Nov 2015
Copyright (C) 1989-2015 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, Virtuoso and Spectre are registered trademarks of Cadence Design Systems, Inc. All others are the property of their respective holders.

Includes RSA BSAFE(R) Cryptographic or Security Protocol Software from RSA Security, Inc.

User: work   Host: work-eda   HostID: 7F0100   PID: 43548
Memory  available: 673.6609 MB  physical: 4.0036 GB
Linux   : Red Hat Enterprise Linux Server release 6.5 (Santiago)
CPU Type: Intel(R) Core(TM) i5-8250U CPU @ 1.60GHz
All processors running at 1800.0 MHz
        Socket: Processors
        0:       0
        
System load averages (1min, 5min, 15min) : 6.0 %, 13.0 %, 18.0 %
This is a virtual machine


Simulating `input.scs' on work-eda at 10:00:20 PM, Sun May 1, 2022 (process id: 43548).
Current working directory: /home/disk/simulation/SIM_IC61/test_SAR0/spectre/schematic/netlist
Command line:
    /opt/MMSIM151/tools/bin/spectre -64 input.scs +escchars +log  \
        ../psf/spectre.out +inter=mpsc +mpssession=spectre1_27126_13  \
        -format psfxl -raw ../psf +lqtimeout 900 -maxw 5 -maxn 5
spectre pid = 43548

Loading /opt/MMSIM151/tools.lnx86/cmi/lib/64bit/5.0/libinfineon_sh.so ...
Loading /opt/MMSIM151/tools.lnx86/cmi/lib/64bit/5.0/libphilips_o_sh.so ...
Loading /opt/MMSIM151/tools.lnx86/cmi/lib/64bit/5.0/libphilips_sh.so ...
Loading /opt/MMSIM151/tools.lnx86/cmi/lib/64bit/5.0/libsparam_sh.so ...
Loading /opt/MMSIM151/tools.lnx86/cmi/lib/64bit/5.0/libstmodels_sh.so ...
Reading file:  /home/disk/simulation/SIM_IC61/test_SAR0/spectre/schematic/netlist/input.scs
Reading file:  /opt/MMSIM151/tools.lnx86/spectre/etc/configs/spectre.cfg
Reading file:  /home/work/Desktop/ssr_61/L35P/l35p_v3.scs

Warning from spectre in `NA', during circuit read-in.
    WARNING (SFE-2296): "/home/work/Desktop/ssr_61/L35P/l35p_v3.scs" 638: The inline subckt definition `NA' does not contain any inline components. The `inline' qualifier will therefore be ignored.

Reading file:  /home/work/Desktop/ssr_61/sameall/DFF0/dff00/veriloga/veriloga.va
Reading file:  /opt/MMSIM151/tools.lnx86/spectre/etc/ahdl/constants.vams
Reading file:  /opt/MMSIM151/tools.lnx86/spectre/etc/ahdl/disciplines.vams
Reading file:  /home/work/Desktop/ssr_61/sameall/dac_6bit/dac_6/veriloga/veriloga.va
Reading file:  /home/work/Desktop/ssr_61/sameall/and1/and11/veriloga/veriloga.va
Reading link:  /opt/MMSIM151/tools.lnx86/spectre/etc/ahdl/constants.h
Reading link:  /opt/MMSIM151/tools.lnx86/spectre/etc/ahdl/discipline.h
Time for NDB Parsing: CPU = 148.978 ms, elapsed = 408.569 ms.
Time accumulated: CPU = 161.975 ms, elapsed = 408.573 ms.
Peak resident memory used = 44.2 Mbytes.


The CPU load for active processors is :
        Spectre  0 (100.0 %)   
        Other   
Existing shared object for module dff00 is up to date.
Installed compiled interface for dff00.
Existing shared object for module dac_6 is up to date.
Installed compiled interface for dac_6.
Existing shared object for module and11 is up to date.
Installed compiled interface for and11.
Time for Elaboration: CPU = 36.995 ms, elapsed = 77.7071 ms.
Time accumulated: CPU = 199.969 ms, elapsed = 487.535 ms.
Peak resident memory used = 51.5 Mbytes.

Time for EDB Visiting: CPU = 5.999 ms, elapsed = 13.005 ms.
Time accumulated: CPU = 207.968 ms, elapsed = 502.795 ms.
Peak resident memory used = 53.2 Mbytes.


Notice from spectre during topology check.
    Only one connection to the following 8 nodes:
        Q0_
        Q1_
        Q2_
        Q3_
        Q4_
        Further occurrences of this notice will be suppressed.
Warning from spectre during heuristic topology check - set topcheck=fixall to fix all floating nodes.
    WARNING (SPECTRE-7): No DC path from node `I37.net64' to ground.
    WARNING (SPECTRE-7): No DC path from node `I36.net64' to ground.


Global user options:
             reltol = 0.001
            vabstol = 1e-06
            iabstol = 1e-12
               temp = 27
               tnom = 27
             scalem = 1
              scale = 1
               gmin = 1e-12
             rforce = 1
           maxnotes = 5
           maxwarns = 5
             digits = 5
               cols = 80
             pivrel = 0.001
           sensfile = ../psf/sens.output
     checklimitdest = psf
               save = allpub

Scoped user options:

Circuit inventory:
              nodes 170
              and11 1     
            bsim3v3 310   
          capacitor 18   
              dac_6 1     
              dff00 6     
            vsource 12   

Analysis and control statement inventory:
               info 7     
               tran 1     

Output statements:
             .probe 0     
           .measure 0     
               save 0     


Notice from spectre.
    1 notice suppressed.

Time for parsing: CPU = 5.998 ms, elapsed = 21.2772 ms.
Time accumulated: CPU = 214.966 ms, elapsed = 524.639 ms.
Peak resident memory used = 54.5 Mbytes.

~~~~~~~~~~~~~~~~~~~~~~
Pre-Simulation Summary
~~~~~~~~~~~~~~~~~~~~~~
~~~~~~~~~~~~~~~~~~~~~~
Entering remote command mode using MPSC service (spectre, ipi, v0.0, spectre1_27126_13, ).

Warning from spectre.
    WARNING (SPECTRE-16707): Only tran supports psfxl format, result of other analyses will be in psfbin format.


***************************************************
Transient Analysis `tran': time = (0 s -> 102.8 us)
***************************************************
Trying `homotopy = gmin' for initial conditions.

Notice from spectre during IC analysis, during transient analysis `tran'.
    GminDC = 1 pS is large enough to noticeably affect the DC solution.
        dV(I60.net13) = -802.906 mV
        Use the `gmin_check' option to eliminate or expand this report.
    Bad pivoting is found during DC analysis. Option dc_pivot_check=yes is recommended for possible improvement of convergence.

DC simulation time: CPU = 90.986 ms, elapsed = 194.505 ms.

Opening the PSFXL file ../psf/tran.tran.tran ...
Important parameter values:
    start = 0 s
    outputstart = 0 s
    stop = 102.8 us
    step = 102.8 ns
    maxstep = 1.028 us
    ic = all
    useprevic = no
    skipdc = no
    reltol = 100e-06
    abstol(V) = 1 uV
    abstol(I) = 1 pA
    temp = 27 C
    tnom = 27 C
    tempeffects = all
    errpreset = conservative
    method = gear2only
    lteratio = 10
    relref = alllocal
    cmin = 0 F
    gmin = 1 pS


Output and IC/nodeset summary:
                 save   12      (current)
                 save   170     (voltage)


Warning from spectre at time = 595.22 fs during transient analysis `tran'.
    WARNING (CMI-2139): I58.M1: The bulk-drain junction current exceeds `imelt'.  The results computed by Virtuoso(R) Spectre are now incorrect because the junction current model has been linearized.
    WARNING (CMI-2144): I58.M1: The bulk-drain junction current exceeds `imax'.
Notice from spectre at time = 3.2569 ps during transient analysis `tran'.
    I58.M1: The bulk-drain junction leaves the linearized region.
Notice from spectre at time = 3.61431 ps during transient analysis `tran'.
    I58.M1: The bulk-drain junction current no longer exceeds `imax'.
Warning from spectre at time = 25.0018 ns during transient analysis `tran'.
    WARNING (CMI-2139): I58.M0: The bulk-drain junction current exceeds `imelt'.  The results computed by Virtuoso(R) Spectre are now incorrect because the junction current model has been linearized.
    WARNING (CMI-2144): I58.M0: The bulk-drain junction current exceeds `imax'.
Notice from spectre at time = 25.0047 ns during transient analysis `tran'.
    I58.M0: The bulk-drain junction leaves the linearized region.
    I58.M0: The bulk-drain junction current no longer exceeds `imax'.
Warning from spectre at time = 25.5682 ns during transient analysis `tran'.
    WARNING (CMI-2139): I28.M10: The bulk-drain junction current exceeds `imelt'.  The results computed by Virtuoso(R) Spectre are now incorrect because the junction current model has been linearized.
    WARNING (CMI-2144): I28.M10: The bulk-drain junction current exceeds `imax'.
Notice from spectre at time = 25.5709 ns during transient analysis `tran'.
    I28.M10: The bulk-drain junction leaves the linearized region.
    I28.M10: The bulk-drain junction current no longer exceeds `imax'.
Warning from spectre at time = 38.0687 ns during transient analysis `tran'.
    WARNING (CMI-2139): I28.M12: The bulk-source junction current exceeds `imelt'.  The results computed by Virtuoso(R) Spectre are now incorrect because the junction current model has been linearized.
    WARNING (CMI-2144): I28.M12: The bulk-source junction current exceeds `imax'.
    WARNING (CMI-2139): I28.M11: The bulk-drain junction current exceeds `imelt'.  The results computed by Virtuoso(R) Spectre are now incorrect because the junction current model has been linearized.
        Further occurrences of this warning will be suppressed.
    WARNING (CMI-2144): I28.M11: The bulk-drain junction current exceeds `imax'.
        Further occurrences of this warning will be suppressed.
Notice from spectre at time = 38.0724 ns during transient analysis `tran'.
    I28.M12: The bulk-source junction leaves the linearized region.
    I28.M12: The bulk-source junction current no longer exceeds `imax'.
    I28.M11: The bulk-drain junction leaves the linearized region.
        Further occurrences of this notice will be suppressed.
    I28.M11: The bulk-drain junction current no longer exceeds `imax'.
        Further occurrences of this notice will be suppressed.

    tran: time = 247.1 ns    (240 m%), step = 25.96 ps    (25.3 u%)
    tran: time = 505 ns      (491 m%), step = 21.96 ps    (21.4 u%)
    tran: time = 763.1 ns    (742 m%), step = 2.054 ps       (2 u%)
    tran: time = 1.013 us    (985 m%), step = 80.61 fs    (78.4 n%)
    tran: time = 1.281 us    (1.25 %), step = 5.854 ps    (5.69 u%)
    tran: time = 1.507 us    (1.47 %), step = 11.58 ps    (11.3 u%)
    tran: time = 1.691 us    (1.65 %), step = 257.8 ps     (251 u%)
    tran: time = 1.905 us    (1.85 %), step = 10.63 ps    (10.3 u%)
    tran: time = 2.138 us    (2.08 %), step = 15.18 ps    (14.8 u%)

Aggregate audit (10:02:01 PM, Sun May 1, 2022):
Time used: CPU = 91.1 s (1m  31.1s), elapsed = 100 s (1m  40.3s), util. = 90.9%.
Time spent in licensing: elapsed = 137 ms.
Peak memory used = 58.5 Mbytes.
Simulation started at: 10:00:20 PM, Sun May 1, 2022, ended at: 10:02:01 PM, Sun May 1, 2022, with elapsed time (wall clock): 100 s (1m  40.3s).
spectre completes with 0 errors, 1828 warnings, and 1827 notices.
发表于 2022-5-2 10:11:22 | 显示全部楼层
是不是在设置里设置了stop时间,你看一下
 楼主| 发表于 2022-5-3 16:48:01 | 显示全部楼层


amodaman 发表于 2022-5-2 08:57
没有错误信息是最难诊断的了,我以前碰到过这个情况的,一时想不起来怎么处理的了,.....。你可以先试一下 ...


谢谢谢谢 可能是不兼容吧但是如果是因为不兼容我也实在是不会弄了 昨天一气之下把电路全删了虚拟机桌面也清空了 从导库起全部重新来重新把电路连了 不过我的电路现在数据很差 我做的是6bit的异步SAR ADC 但是测出有效位只有4.2几的样子 调了管子参数现在也才到4.3 我的采样电路测出来有效位有10bit所以应该不是采样开关的问题 各模块波形的话看起来是符合逻辑的就是毛刺比较大 请问大佬你知道怎么把有效位提高不 我这实在差太远了绝望
 楼主| 发表于 2022-5-3 16:52:30 | 显示全部楼层


jiaoda 发表于 2022-5-2 10:11
是不是在设置里设置了stop时间,你看一下


感谢回答 好像是没转好 我试着只单独测一些电源的波形都输出不正确 直流的交流了矩形波的都试了全都长得很崎岖 但是我实在是不会弄了就把电路全删干净了一个没留 现在重新导了库连了电路已经可以正常跑了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 08:55 , Processed in 0.025818 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表