在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3958|回复: 13

[求助] 怎样才能在run_test()里不加case名的情况下跑通仿真。

[复制链接]
发表于 2022-4-28 09:33:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
跑仿真的时候,在脚本里./sim.v后加了case名,但无法正确仿真,只有在run_test()里也加入case名才行,这是哪里没设置对呢
发表于 2022-4-28 10:40:09 来自手机 | 显示全部楼层
simv +UVM_TESTNAME=case_name
 楼主| 发表于 2022-4-28 10:45:47 | 显示全部楼层


saipolo 发表于 2022-4-28 10:40
simv +UVM_TESTNAME=case_name


不行,这边是这样设置的,但是还是需要在tb中的run_test里加用例名才行
发表于 2022-4-28 15:01:31 | 显示全部楼层


超超0508 发表于 2022-4-28 10:45
不行,这边是这样设置的,但是还是需要在tb中的run_test里加用例名才行


那得看你环境怎么写的了,以及具体报的是什么错。
 楼主| 发表于 2022-4-28 16:01:51 | 显示全部楼层


zhangdeshuai 发表于 2022-4-28 15:01
那得看你环境怎么写的了,以及具体报的是什么错。


以下的结果是run_test中不带case名会报的错
image.png image.png image.png






发表于 2022-4-28 16:27:41 | 显示全部楼层
问题解决了么?
发表于 2022-4-28 16:40:47 | 显示全部楼层
检查一下my_case0的factory注册
发表于 2022-4-28 18:34:53 | 显示全部楼层


超超0508 发表于 2022-4-28 16:01
以下的结果是run_test中不带case名会报的错


检查一下环境变量$SIM_TOOL有没有设置成"VCS"。。。看着有一定概率像是你这个第一句的if的判别没有都进入。
发表于 2022-4-28 19:10:39 | 显示全部楼层
把你的case代码贴出来
发表于 2022-4-28 22:05:48 | 显示全部楼层


超超0508 发表于 2022-4-28 16:01
以下的结果是run_test中不带case名会报的错


最直观的定位就是看仿真log,第一行打印有没有那句+UVM_TESTNAME=xxx
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 12:56 , Processed in 0.029271 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表