在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2262|回复: 5

[原创] systermverilog $srand(seed) seed 设置不成功

[复制链接]
发表于 2022-1-6 16:25:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
通常我们在设置仿真seed的时候会用vcs的仿真参数+ntp_rand_seed=seed来设置,但是今天注意到一个函数$srand(seed)也可以来设置seed,但是实际的coding之后的仿真结果也并不是我想的那样seed的值并没有改变?

求问各位有没有遇见过类似的问题

image.png

image.png

image.png
发表于 2022-1-6 19:52:24 | 显示全部楼层
最后一句话不是很明显吗?
 楼主| 发表于 2022-1-6 20:00:58 | 显示全部楼层


anpengfei 发表于 2022-1-6 19:52
最后一句话不是很明显吗?


那我要怎么获得seed的值呢?虽然$get_init_\random_seed()的用法有待探讨,但是我尝试过从仿真结果来看,seed的值确实没有设置成功。
发表于 2022-1-6 20:21:09 | 显示全部楼层


许晴125 发表于 2022-1-6 20:00
那我要怎么获得seed的值呢?虽然$get_init_\random_seed()的用法有待探讨,但是我尝试过从仿真结果来看, ...


A.srandom(300)试试
 楼主| 发表于 2022-1-7 13:20:31 | 显示全部楼层


anpengfei 发表于 2022-1-6 20:21
A.srandom(300)试试


首先,get_initial_random_seed的函数,就跟vcs_userguid里面的解释是一样的,那么我要怎么获得seed这个值呢?

另外经过您的提醒,我又翻阅了sv的标准,我发现是没有$srandom(seed)这种用法的,只有srandom(seed)的用法。谢谢您!

                               
登录/注册后可看大图

 楼主| 发表于 2022-1-12 16:07:39 | 显示全部楼层
$srandom(seed)在SV中是没有这种用法的,但是不知道为啥编译的时候不报错。
srandom(seed)的用法有两种:process.srandom(seed)和object.srandom(seed)
这是目前我的理解,如果有误,还请大家多多指教!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 05:21 , Processed in 0.030429 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表