在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1273|回复: 1

[求助] questa编译uvm组件不通过

[复制链接]
发表于 2020-9-3 13:51:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在win下,用questa直接编译uvm的组件都不能通过,为什么呀?是不能这样运行吗?纯小白一个,真不知道了求大佬指导下显示vlog -work work -vopt -sv -stats=none F:/questasim/aaashiyan/AHB/my_env.svQuestaSim-64 vlog 10.6c Compiler 2017.07 Jul 26 2017
** Error: (vlog-13069) F:/questasim/aaashiyan/AHB/my_env.sv(5): near "uvm_env": syntax error, unexpected IDENTIFIER.
** Error: F:/questasim/aaashiyan/AHB/my_env.sv(5): Error in class extension specification.


发表于 2020-11-13 16:07:37 | 显示全部楼层
uvm库import了没?没有的话加上:import uvm_pkg::*;和`include "uvm_macros.svh"
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 09:04 , Processed in 0.020141 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表