|
发表于 2021-3-8 19:28:41
|
显示全部楼层
想請問當跑ncverilog時候出現,
ncelab: *W,MISSYST (./taskout.ohead,11|13): Unrecognized system task or function: $fsdbDumpfile (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
If item was defined in a shared-object library, the problem could be:
libvpi.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS64 library.
libpli.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS64 library..
$fsdbDumpvars(10,sim_top);
|
ncelab: *W,MISSYST (./taskout.ohead,12|13): Unrecognized system task or function: $fsdbDumpvars (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
.fast_pen(fast_pen), .fast_chslew(fast_chslew), .vds_sel(vds_sel),
.....
Writing initial simulation snapshot: worklib.sim_top head
Loading snapshot worklib.sim_top head .................... Done
ncsim: *W,LIBLDFL: Failed to load dynamic library debpli:
debpli.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS64 library..
$fsdbDumpfile(outname1);
|
ncsim: *E,MSSYSTF (./taskout.ohead,11|13): User Defined system task or function ($fsdbDumpfile) registered during elaboration and used within the simulation has not been registered during simulation.
$fsdbDumpvars(10,sim_top);
|
ncsim: *E,MSSYSTF (./taskout.ohead,12|13): User Defined system task or function ($fsdbDumpvars) registered during elaboration and used within the simulation has not been registered during simulation.
-l: Command not found.
這種情況是哪裡出錯了呢?
參數設定如下 ncverilog +nclicq +access+r +mindelay +loadpli1=debpli:novas_pli_boot \
verdi 參數設定如下
#Verdi
export Verdi_HOME=$Synopsys_Dir/Verdi2015
export NOVAS_HOME=$Synopsys_Dir/Verdi2015
export PATH=$Verdi_HOME/bin PATH
想請問大家,這樣子是哪裡出錯了呢?
|
|