在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 子非鱼1

免费的EDA虚拟机平台

[复制链接]
发表于 2021-3-6 16:19:13 | 显示全部楼层
谢谢楼主分享,非常好用,但有一个问题,virtuoso schematic里器件参数如果是默认值就不显示,要怎么修改啊。
QQ截图20210306161654.png
发表于 2021-3-7 19:06:45 | 显示全部楼层
请问有没有qq群?
发表于 2021-3-7 23:51:15 | 显示全部楼层
感谢分享,虚拟机还需要下载附件破解吗?
发表于 2021-3-8 09:26:17 | 显示全部楼层
谢谢楼主辛苦工作
发表于 2021-3-8 19:28:41 | 显示全部楼层
想請問當跑ncverilog時候出現,

ncelab: *W,MISSYST (./taskout.ohead,11|13): Unrecognized system task or function: $fsdbDumpfile (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
If item was defined in a shared-object library, the problem could be:
libvpi.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS64 library.
libpli.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS64 library..
        $fsdbDumpvars(10,sim_top);
                    |
ncelab: *W,MISSYST (./taskout.ohead,12|13): Unrecognized system task or function: $fsdbDumpvars (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
                .fast_pen(fast_pen), .fast_chslew(fast_chslew), .vds_sel(vds_sel),
.....

Writing initial simulation snapshot: worklib.sim_tophead
Loading snapshot worklib.sim_tophead .................... Done
ncsim: *W,LIBLDFL: Failed to load dynamic library debpli:
        debpli.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS64 library..
        $fsdbDumpfile(outname1);
                    |
ncsim: *E,MSSYSTF (./taskout.ohead,11|13): User Defined system task or function ($fsdbDumpfile) registered during elaboration and used within the simulation has not been registered during simulation.
        $fsdbDumpvars(10,sim_top);
                    |
ncsim: *E,MSSYSTF (./taskout.ohead,12|13): User Defined system task or function ($fsdbDumpvars) registered during elaboration and used within the simulation has not been registered during simulation.
-l: Command not found.

這種情況是哪裡出錯了呢?

參數設定如下       ncverilog +nclicq +access+r +mindelay +loadpli1=debpli:novas_pli_boot \

verdi 參數設定如下  

#Verdi
export Verdi_HOME=$Synopsys_Dir/Verdi2015
export NOVAS_HOME=$Synopsys_Dir/Verdi2015
export PATH=$Verdi_HOME/binPATH

想請問大家,這樣子是哪裡出錯了呢?





发表于 2021-3-8 21:13:31 | 显示全部楼层
谢谢楼主分享。请教个问题,现在163的repo已经没有Centos6了,改成Centos7后,安装软件会报一堆错误,能帮忙更新一下吗?谢谢
发表于 2021-3-9 10:49:35 | 显示全部楼层


zhwps 发表于 2021-3-2 16:35
虚拟安装可以参考B站视频


兄弟,我的改yum源有错,请问怎么弄的?

发表于 2021-3-9 15:24:16 | 显示全部楼层

請問版主 這個連結失效,無法更新。 請問該何解?
http://mirrors.163.com/centos/6/os/x86_64/repodata/repomd.xml:
发表于 2021-3-10 17:04:57 | 显示全部楼层
密码是什么啊
发表于 2021-3-13 18:48:06 | 显示全部楼层
感谢分享,学到了不少知识
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-1-31 21:42 , Processed in 0.022984 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表