|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
function void uvm_sequencer_base::build();
int dummy;
super.build();
`ifndef UVM_NO_DEPRECATED
// deprecated parameters for sequencer. Use uvm_sequence_library class
// for sequence library functionality.
if (uvm_config_string::get(this, "", "default_sequence", default_sequence)) begin
`uvm_warning("UVM_DEPRECATED",{"default_sequence config parameter is deprecated and not ",
"part of the UVM standard. See documentation for uvm_sequencer_base::start_phase_sequence()."})
this.m_default_seq_set = 1;
end
...
endfunction
uvm1.2中uvm_sequencer_base.svh中看到如上代码,什么意思?是不是我们常用的如下设置default_sequence的方法不能用了吗?
virtual function void build_phase(uvm_phase phase);
super.build_phase(phase);
uvm_config_db#(uvm_object_wrapper)::set(this,
"i_agt.sqr.main_phase",
"default_sequence",
my_sequence::type_id::get());
endfunction
|
|