在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: zhangdeshuai

[求助] 使用开源iverilog编译uvm

[复制链接]
发表于 2020-11-27 20:57:35 | 显示全部楼层


qsh123_123 发表于 2020-11-27 20:10
报错的其实是前一行的那行// 开头的注释,应该改成/* */的注释。
就是将如下的代码:


另外,其他含有//开头,\结尾的注释行都需要替换掉。
采用vim/gvim打开后使用正则表达式替换掉即可。具体替换的正则表达式如下:




  1. %s#\(^.*\/\)\/\(.*\)\\$#\1*\2*/ \


复制代码




 楼主| 发表于 2020-12-11 18:18:26 | 显示全部楼层


qsh123_123 发表于 2020-11-27 20:10
报错的其实是前一行的那行// 开头的注释,应该改成/* */的注释。
就是将如下的代码:
改成:


太强大了
发表于 2022-12-17 15:50:32 | 显示全部楼层


qsh123_123 发表于 2020-11-27 20:10
报错的其实是前一行的那行// 开头的注释,应该改成/* */的注释。
就是将如下的代码:
改成:


确实,按照您说的上面的错误确实没有了,但是在dpi/uvm_dpi.cc 中报有语法错误

运行的是UVM实战中的代码:2.2.1 我将代码放在了uvm 的src 文件夹下





  1. iverilog -f filelist.f  -g2012 -s top_tb



复制代码

filelist.f 文件:




  1. +incdir+./
  2. ./dpi/uvm_dpi.cc
  3. top_tb.sv
  4. dut.sv
  5. uvm.sv


复制代码

运行显示:
./dpi/uvm_dpi.cc:29: syntax error
I give up.





发表于 2022-12-19 15:44:11 | 显示全部楼层


smhr 发表于 2022-12-17 15:50
确实,按照您说的上面的错误确实没有了,但是在dpi/uvm_dpi.cc 中报有语法错误

运行的是UVM实战中的代 ...


这个问题更明显一些。。。你在filelist.f里面增加的这个./dpi/uvm_dpi.cc是c++文件,而使用iverilog编译的其他是.sv文件,他们的解析语法明显不一样——前者用gcc进行C/C++语法编译,后者虽然最终大概率也是用gcc但解析的是verilog/systemverilog语法。。。你假如需要编译dpi的c/c++文件的话,需要单独对C/C++编译,他们不支持混合语言编译。。。
发表于 2022-12-23 00:54:08 | 显示全部楼层
iverilog对uvm的支持根本没列入官方议题,我看官网上就只有一个相关issue,不用花时间折腾了,windows上还是捏着鼻子用modelsim吧
发表于 2022-12-24 20:04:31 | 显示全部楼层
之前记得在Github上看到一个项目,内容是经过测试的常见的编译器支持的feature,iverilog在那个里面是不支持uvm和一些systemverilog的feature的。但项目地址忘记了,有朋友见过的可以发一下。
发表于 2022-12-27 13:46:27 | 显示全部楼层
uvm编译的一些语法问题解决后,
还有很多其他的问题冒出来,目前看着并不是很适配和适用,
不知道哪位能够真正在iverilog下把uvm整体用起来
 楼主| 发表于 2023-1-9 20:43:04 | 显示全部楼层


dcz1028 发表于 2022-12-27 13:46
uvm编译的一些语法问题解决后,
还有很多其他的问题冒出来,目前看着并不是很适配和适用,
不知道哪位能够 ...


确实还有其他问题。估计得等iverilog更新吧,目前最新的sv语法还不支持。
发表于 2023-2-12 12:12:08 | 显示全部楼层


zhangdeshuai 发表于 2023-1-9 20:43
确实还有其他问题。估计得等iverilog更新吧,目前最新的sv语法还不支持。


确实,本来想在win10上用轻量的仿真软件进行仿真的,结果发现iverilog 对sv 支持还是太少了,只能是些简单的


您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 23:49 , Processed in 0.033509 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表