在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1210|回复: 4

[求助] 跑 UVM1.2 hello_world 例子遇到问题

[复制链接]
发表于 2019-7-27 21:28:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
刚安装完vcs,试着跑uvm1.2 的 helloworld例子的时候遇到下面的问题,有大佬知道怎么解决吗?
2019-07-27 21-28-07屏幕截图.png
发表于 2019-7-28 08:51:42 | 显示全部楼层
你没指定TESTNAME
 楼主| 发表于 2019-7-28 14:24:26 | 显示全部楼层


huaihui 发表于 2019-7-28 08:51
你没指定TESTNAME


不是这个问题吧,我试跑ubus的例子的时候一样有这个问题,那个例子是有设testname的
发表于 2019-7-28 17:20:43 | 显示全部楼层


KaiFai 发表于 2019-7-28 14:24
不是这个问题吧,我试跑ubus的例子的时候一样有这个问题,那个例子是有设testname的 ...


你的 仿真输入命令没有
发表于 2019-7-28 22:22:04 | 显示全部楼层
看起来像没有指定run_test的名字
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 17:58 , Processed in 0.022648 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表