在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10520|回复: 32

i2c simulation with Xcelium

[复制链接]
发表于 2019-7-15 21:52:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 warewise6836 于 2019-7-16 09:35 编辑

   Incisive 三階段模擬轉用 Xceliumxrun,環境設定似有所差異,因而遇到些許挫折。網路上可參考的教案,或例子又不多。茲就 Opencores I2C 當例子,嘗試出一個流程,供初次使用時當參考,亦拋磚引玉,請大家共提建議。

   過程中有兩處尚未解決處,先提出,就教高手。
其一是 $mce_fsdbDumfile(), $mce_fsdbDumpvars(),$mce_recordfile(), $mce_recordvars(), …. 等系統呼叫涵式,出自何資料庫? 這一直未試出。
其二是 Indago FEATURE ULMdelta, LMecho, ULMhotel,ULMindia, ULMjuliette, ULMmike, Indago_DA_App 已加入 license.dat, 但執行 ${CDS_INST_DIR}/tools/simvision/bin/64bit/indigo時還是出現:  Fatal Error:
  Could not check out license: Cannot checkout feature Indago_DA_App。Xcellium version: 18.03.001

  執行 xrun 流程如下:
1. cds.lib中建立邏輯資料庫與實際硬碟目錄對應:
    define i2c           ./xcelium.d/i2c
    define worklib     ./xcelium.d/worklib
2. hdl.var中建立 RTL 原始檔目錄與邏輯資料庫對應,並設立選項參數:
    define LIB_MAP  ( ../rtl => i2c )
    defineLIB_MAP   ($LIB_MAP, + => worklib )
    define XRUNOPTS  -message -access +rwc  -uvmlinedebug -linedebug -uvm
3. 最上層 tst_bench_top.v 加入 fsdb file 產生涵式:
  reg [32*8-1:0]    fsdbfile;
  integer            fd_fsdbfile;
  initial begin
    if ($test$plusargs ("fsdbfile"))begin
      fd_fsdbfile =$value$plusargs("fsdbfile+%s", fsdbfile);
      $display("FSDBFILE is %s",fsdbfile);
      $fsdbDumpfile(fsdbfile);
      $fsdbDumpvars("level=", 0,tst_bench_top);
    end
  end
4. 編譯模擬流程建於 Makefile 中,所以先執行
    make cmp_rtl          // 編譯 RTL
    make tb_i2c_novas  // 編譯與執行測試檔,與產生 fsdb file
    make tb_i2c_ida      // 編譯與執行測試檔,並產生 ida.db dir

非常抱歉,初次發帖,發現兩個附檔售價過高,故再重傳一次,還是同樣定價,非本意,請多包涵。查詢一下,亦不知如何定價,與取消重複檔案。

i2c.part2.rar

11.92 MB, 下载次数: 151 , 下载积分: 资产 -4 信元, 下载支出 4 信元

i2c.part1.rar

30 MB, 下载次数: 156 , 下载积分: 资产 -9 信元, 下载支出 9 信元

i2c.part2.rar

11.92 MB, 下载次数: 69 , 下载积分: 资产 -4 信元, 下载支出 4 信元

i2c.part1.rar

30 MB, 下载次数: 63 , 下载积分: 资产 -9 信元, 下载支出 9 信元

发表于 2019-7-16 18:02:01 | 显示全部楼层
thanks
发表于 2019-7-17 14:29:02 | 显示全部楼层
dingiexian
发表于 2019-7-17 19:55:41 | 显示全部楼层
本帖最后由 rifat_rally 于 2019-7-17 19:59 编辑

will you share xcelium installation packages?
发表于 2019-7-20 16:08:02 | 显示全部楼层
Yhaks for sharing !
 楼主| 发表于 2019-7-21 17:14:18 | 显示全部楼层
installation packages 是從下一網頁取得的:
http://bbs.eetop.cn/thread-857119-1-5.html
发表于 2019-7-21 21:29:24 | 显示全部楼层
Indago 151老版本的可以用,17的用不了,可能和152的imc一样要破某个java文件。但是不知道该破哪个
 楼主| 发表于 2019-7-23 09:54:42 | 显示全部楼层


wjdb3 发表于 2019-7-21 21:29
Indago 151老版本的可以用,17的用不了,可能和152的imc一样要破某个java文件。但是不知道该破哪个 ...


謝謝提醒。
我因而查出原來 INCISIVE 15.10.010 就將 Indago 內建了,可能它的功能被忽略了。我先回到 Incisive 去了解 Indago 的使用與功能。
新版的啟用留待大家來努力。
再說聲 謝謝。
发表于 2019-8-4 17:04:31 | 显示全部楼层


warewise6836 发表于 2019-7-23 09:54
謝謝提醒。
我因而查出原來 INCISIVE 15.10.010 就將 Indago 內建了,可能它的功能被忽略了。我先回到 In ...


请问现在那个问题解决了吗?
 楼主| 发表于 2019-8-5 08:52:30 | 显示全部楼层


年轻的韭菜 发表于 2019-8-4 17:04
请问现在那个问题解决了吗?


Not yet.

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条


小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-21 21:15 , Processed in 0.022873 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表