马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
本帖最后由 warewise6836 于 2019-7-16 09:35 编辑
從 Incisive 三階段模擬轉用 Xceliumxrun,環境設定似有所差異,因而遇到些許挫折。網路上可參考的教案,或例子又不多。茲就 Opencores I2C 當例子,嘗試出一個流程,供初次使用時當參考,亦拋磚引玉,請大家共提建議。
過程中有兩處尚未解決處,先提出,就教高手。 其一是 $mce_fsdbDumfile(), $mce_fsdbDumpvars(),$mce_recordfile(), $mce_recordvars(), …. 等系統呼叫涵式,出自何資料庫? 這一直未試出。 其二是 Indago FEATURE ULMdelta, LMecho, ULMhotel,ULMindia, ULMjuliette, ULMmike, Indago_DA_App 已加入 license.dat, 但執行 ${CDS_INST_DIR}/tools/simvision/bin/64bit/indigo時還是出現: Fatal Error: Could not check out license: Cannot checkout feature Indago_DA_App。Xcellium version: 18.03.001
執行 xrun 流程如下: 1. cds.lib中建立邏輯資料庫與實際硬碟目錄對應: define i2c ./xcelium.d/i2c define worklib ./xcelium.d/worklib 2. hdl.var中建立 RTL 原始檔目錄與邏輯資料庫對應,並設立選項參數: define LIB_MAP ( ../rtl => i2c ) defineLIB_MAP ($LIB_MAP, + => worklib ) define XRUNOPTS -message -access +rwc -uvmlinedebug -linedebug -uvm 3. 最上層 tst_bench_top.v 加入 fsdb file 產生涵式: reg [32*8-1:0] fsdbfile; integer fd_fsdbfile; initial begin if ($test$plusargs ("fsdbfile"))begin fd_fsdbfile =$value$plusargs("fsdbfile+%s", fsdbfile); $display("FSDBFILE is %s",fsdbfile); $fsdbDumpfile(fsdbfile); $fsdbDumpvars("level=", 0,tst_bench_top); end end 4. 編譯模擬流程建於 Makefile 中,所以先執行 make cmp_rtl // 編譯 RTL make tb_i2c_novas // 編譯與執行測試檔,與產生 fsdb file make tb_i2c_ida // 編譯與執行測試檔,並產生 ida.db dir
非常抱歉,初次發帖,發現兩個附檔售價過高,故再重傳一次,還是同樣定價,非本意,請多包涵。查詢一下,亦不知如何定價,與取消重複檔案。 |