|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
一,关系
sequence_A sequencer_A
sequence_B virtual_seq <---------> virtual_sequencer sequencer_B
sequence_c sequencer_C
二,代码
class virtual_sqr extends uvm_sequencer;
`uvm_component_utils(virtual_sqr)
sequencer_A sqr_A;
sequencer_B sqr_B;
sequencer_C sqr_C;
endclass
class virtual_seq extends uvm_sequence;
`uvm_object_utils(virtual_seq)
`uvm_declare_p_sequencer(virtual_sqr)
sequence_A seq_A;
sequence_B seq_B;
sequence_C seq_C;
task body();
`uvm_do_on(seq_A, p_sequencer.sqr_A);
`uvm_do_on(seq_B, p_sequencer.sqr_B);
`uvm_do_on(seq_C, p_sequencer.sqr_C);
endtask
endclass
三,问题: sequence_A的p_sequencer是谁? sequencer_A吗?
class sequence_A extends uvm_sequence #(my_transation);
`uvm_object_utils(sequence_A)
`uvm_declare_p_sequencer(???)
endclass |
|