在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3178|回复: 3

IDM UltraEdit_wordfiles.完整实现对HDL支持

[复制链接]
发表于 2016-1-9 21:19:13 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
让UltraEdit对verilog显示语法高亮

用source insight+verilog语言文件写代码,发现source insight对中文支持太差,还是觉得UltraEdit好用,下面是我的修改总结,希望对大家有用:

1:下载Verilog的语法高亮文件。

即可支持相应的语言编辑,关键字将用不同色彩标出。

下载地址:http://www.ultraedit.cn/downloads/extras.html#dictionaries

下载文件名为:verilog2001.uew

如果文件名不同,可以按需要修改,后缀必须为.uew

2:将下载后的文件复制到UltraEdit安装目录内的wordfile文件夹内,可以看到里面有一些.uew文件,没错,这就是系统默认的wordfile,可以发现,一共有13个文件

UltraEdit默认只有20种语法,那么可以将verilog2001.uew中的第一行中的L20改成L14,或者L19



3:加入折叠功能

由于Verilog hdl的块一般是以begin和end作为开始和结束的标记,其作用相当于C语言的
大括号。在verilog2001.uew的对应语言中添加进如下代码即可:
/Open Fold Strings = "begin""case"
/Close Fold Strings = "end""endcase"
这里除了begin和end可以进行折叠外,另外一对case和endcase同样可以完成折叠,同样的
方法可以添加你需要的折叠标志。
修改结果见文章最后附加代码。
4:加入自动缩进功能

同样在verilog2001.uew中,有控制自动缩进的语法。所谓自动缩进就是指,输入begin回车,下一行自动加一个tab;输入end,下一行自动退回一个tab。用户可以自己添加不同的缩进词实现自动缩进。如:
/Indent Strings = "begin""case""fork" "if" "else"
/Unindent Strings = "end""endcase""join" "else"

修改结果见文章最后附加代码

5: 还可以加入其它一些自定义功能,如函数调用功能,大家可以自己摸索。

6:修改系统配置文件

菜单栏Advanced->Configuration->Editor Display->Syntax Highlighting:将第一排中"Full directory path for wordfiles"

        路径指向UltraEdit安装目录下的wordfile文件夹




IDM UltraEdit_wordfiles.rar (91.02 KB, 下载次数: 91 )
发表于 2016-1-11 09:08:26 | 显示全部楼层
推荐阅读一下这个网站的ue的文章,讲的不错,很有深度
我用ue也很多年了,这文章理解的确实够深
http://micrortl.com/?cat=3
发表于 2016-1-11 10:10:25 | 显示全部楼层
Thank you for sharing
发表于 2020-5-20 23:18:20 | 显示全部楼层
谢谢你的分享,宏很有用
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 09:02 , Processed in 0.025812 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表