在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2565|回复: 2

[求助] 请问windows下用modelsim仿真怎么一次把所有信号的波形dump出来

[复制链接]
发表于 2015-8-16 10:24:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问windows下用modelsim仿真怎么一次把所有信号的波形dump出来?
以前没有用过,发现必须要把信号添加进wave,然后再run一次才能看到波形,没有添加的就不能dump,这样也太麻烦了。有没有办法,像vcs那样,运行一次仿真就把所有信号,无论是接口的还是内部的信号都dump出来,想看什么就可以拖出来看了。
发表于 2015-8-31 09:23:30 | 显示全部楼层
命令add  wave   /counter_tb/ *       "*"表明下面所有的信号,
用鼠标也可以加入所以信号,看鼠标右键菜单
发表于 2015-11-24 20:36:59 | 显示全部楼层
lz这个问题解决了吗???
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 15:29 , Processed in 0.014169 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表