在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2800|回复: 4

[求助] 如何把$value$plusargs和$readmem结合起来。

[复制链接]
发表于 2015-8-13 23:28:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
rt.

用display显示文件名已经传入了,但是$readmem失败.

如:
if($value$plusargs("filename=%s",filename) begin
   $display("filename is %s",filename); //显示对的
   ¥readmem(filename,mem);            //这里读出来的是错的。
end

多谢大家了。
发表于 2015-8-14 09:03:07 | 显示全部楼层
文件内容格式不对。。。
发表于 2015-8-16 13:35:58 | 显示全部楼层
¥readmem,前面是中午符号的$,编译不报错么?
发表于 2015-8-17 11:04:02 | 显示全部楼层
readmemh  少了个h吧?
 楼主| 发表于 2015-8-18 18:31:58 | 显示全部楼层
2楼对的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 14:37 , Processed in 0.021415 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表