在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2325|回复: 4

[讨论] 求教:testbench中的case机制,./simv+case名仿真不同case的实现方法

[复制链接]
发表于 2015-8-2 16:17:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
背景:使用class搭建的分层testbench中,有时需要使用多个测试case,而每个case只有一些设置不同。通常在基类中包含每个case的基本设置,然后在不同的case中对基类扩展,加入各case需要的设置。问题:在编译完成后,可以通过在shell命令下输入./simv+不同的case名,来仿真不同的case
这是如何实现的?需要利用synopsys提供的一些函数么??
发表于 2015-8-3 09:43:28 | 显示全部楼层
增量编译。。。。save-resore
发表于 2015-8-3 14:01:31 | 显示全部楼层
其实就是通过用例名来找到具体执行哪个用例类,比如uvm中仿真选项会设置UVM_TESTNAME=TC_NAME,然后uvm环境会通过这个设置找到其具体所在的用例类,大概思想是这样,具体的要看uvm的源代码。其他的方法学也差不多
发表于 2015-8-3 21:39:11 | 显示全部楼层
楼上回复正解
发表于 2015-8-5 06:06:11 | 显示全部楼层
$value$plusargs
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 13:21 , Processed in 0.017841 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表