在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2135|回复: 5

matlab数据导出

[复制链接]
发表于 2015-7-26 18:00:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
这个是matlab程序。
t=0:0.001:2;                    % 2 secs @ 1kHz sample rate
    y=chirp(t,0,1,120);             % Start @ DC, cross 150Hz at t=1sec
    spectrogram(y,256,250,256,1E8);% Display the spectrogram
    plot(t,y)


                               
登录/注册后可看大图


这个信号我想要转成14位二进制,抽样率48M的数字形式。有什么办法吗
???
急啊
扫频.png
发表于 2015-7-31 07:54:20 | 显示全部楼层
求信元,感谢楼主分享!
 楼主| 发表于 2015-7-31 11:21:56 | 显示全部楼层
回复 2# eagle1215


   抱歉我是新手,怎么分享?是直接给你还是回答问题
发表于 2015-8-1 10:10:57 | 显示全部楼层
这个我也不知道
 楼主| 发表于 2015-8-4 09:48:00 | 显示全部楼层
回复 4# yamadeemas

已经不扫频了,在弄单频
发表于 2015-8-5 09:39:01 | 显示全部楼层

多谢楼主分享,,,,,,
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 12:15 , Processed in 0.025983 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表