在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: seabeam

[资料] UVM 1.2 release Class lib & class ref

[复制链接]
发表于 2014-6-27 00:26:09 | 显示全部楼层
牛叉!
发表于 2014-6-27 14:12:03 | 显示全部楼层
烫手啊,呵呵!
发表于 2014-6-27 14:12:57 | 显示全部楼层
烫手啊,呵呵!
 楼主| 发表于 2014-6-27 16:48:45 | 显示全部楼层
回复 9# wintopest

这是1.2新增内容,vcs最新版本没有试过,不行的话只能等待更新的工具发布。源码仅供参考。
 楼主| 发表于 2014-6-27 16:49:42 | 显示全部楼层
回复 6# 飞扬紫百合

如果你也是9楼的错误,只能期待工具的更新了
发表于 2014-6-27 17:29:07 | 显示全部楼层
1.2变化太大,还是回归1.1d吧
发表于 2014-7-3 16:19:00 | 显示全部楼层
good stuff !!~!
good stuff !!~!
发表于 2014-7-31 23:19:35 | 显示全部楼层
good job
发表于 2014-8-4 23:02:50 | 显示全部楼层
好棒!
 楼主| 发表于 2014-8-6 10:10:40 | 显示全部楼层
回复 6# 飞扬紫百合
回复 9# wintopest
证实了一件事,不能跑是因为仿真软件不够新,想办法找新版的软件吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 10:30 , Processed in 0.034458 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表