在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2180|回复: 6

序列检测器 (门级实现老师让这样做的)

[复制链接]
发表于 2014-3-7 20:59:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
module counter32_fu(y,i);

    output [4:0] y;
    input [31:0] i;

    assign y[0]=(i[1]&&i[0])
                ||(i[3]&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[5]&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[7]&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[9]&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[11]&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[13]&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[15]&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[17]&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[19]&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[21]&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[23]&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[25]&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[27]&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[29]&&(~i[28])&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ||(i[31]&&(~i[30])&&(~i[29])&&(~i[28])&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0]))
                ;
    assign y[1]=i[2]&&(~i[1])&&(~i[0])
                ||i[3]&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[6]&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[7]&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[10]&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[11]&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[14]&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[15]&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[18]&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[19]&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[22]&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[23]&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[26]&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[27]&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[30]&&(~i[29])&&(~i[28])&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[31]&&(~i[30])&&(~i[29])&&(~i[28])&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ;
    assign y[2]=i[4]&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[5]&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[6]&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[7]&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[12]&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[13]&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[14]&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[15]&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[20]&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[21]&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[22]&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[23]&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[28]&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[29]&&(~i[28])&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[30]&&(~i[29])&&(~i[28])&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[31]&&(~i[30])&&(~i[29])&&(~i[28])&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ;
    assign y[3]=i[8]&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[9]&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[10]&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[11]&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[12]&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[13]&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[14]&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[15]&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[24]&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[25]&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[26]&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[27]&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[28]&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[29]&&(~i[28])&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[30]&&(~i[29])&&(~i[28])&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[31]&&(~i[30])&&(~i[29])&&(~i[28])&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ;
    assign y[4]=i[16]&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])         
                ||i[17]&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[18]&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[19]&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[20]&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[21]&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[22]&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[23]&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[24]&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[25]&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[26]&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[27]&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[28]&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[29]&&(~i[28])&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[30]&&(~i[29])&&(~i[28])&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ||i[31]&&(~i[30])&&(~i[29])&&(~i[28])&&(~i[27])&&(~i[26])&&(~i[25])&&(~i[24])&&(~i[23])&&(~i[22])&&(~i[21])&&(~i[20])&&(~i[19])&&(~i[18])&&(~i[17])&&(~i[16])&&(~i[15])&&(~i[14])&&(~i[13])&&(~i[12])&&(~i[11])&&(~i[10])&&(~i[9])&&(~i[8])&&(~i[7])&&(~i[6])&&(~i[5])&&(~i[4])&&(~i[3])&&(~i[2])&&(~i[1])&&(~i[0])
                ;

    endmodule



    module stimulus_counter32_fu;

        wire [4:0] y;
        reg [31:0] i;

        counter32_fu test(y,i);

        initial

        begin
        #1 i=32'b1111_1111_0010_1100_1111_1010_0000_0000;
    end

        initial
        begin

            $monitor($time,"i=%b,y=%d",i,y);
        end
endmodule
发表于 2014-3-8 12:22:54 | 显示全部楼层
。。。。。
 楼主| 发表于 2014-3-8 12:33:40 | 显示全部楼层
回复 2# A1985


   老师 太耿直了  必须 数据流 不能用时钟!!!!!!!!!
发表于 2014-4-12 09:43:04 | 显示全部楼层
求大神指点,不用时钟是怎么实现的?什么思想啊?给我们这些菜鸟普及普及吧,谢谢了。
 楼主| 发表于 2014-4-13 18:54:06 | 显示全部楼层
回复 4# 0223


   一个节拍 搞定! 我用的时优先编码器的思想 你可以看看
发表于 2014-9-3 18:55:33 | 显示全部楼层
没看懂,这是是检测哪个序列的啊,y显示为9
#                    0i=xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx,y= x
#                    1i=11111111001011001111101000000000,y= 9
发表于 2014-9-3 18:56:26 | 显示全部楼层
能否说明下原理,谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 20:45 , Processed in 0.044554 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表