在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: dfcan

Gates On the Fly, Netlist ECO 自动化工具 V4.1, 不需LICENSE

[复制链接]
发表于 2014-4-12 07:07:01 | 显示全部楼层
同意楼上
 楼主| 发表于 2014-4-13 00:12:46 | 显示全部楼层



建议把新模块Flatten掉,这样还可能省一些Gates, 因为已有的逻辑可能可以代替新的逻辑。如果用新模块加vmacro 选项,整个模块会加到ECO Netlist中去。
发表于 2014-4-14 13:33:47 | 显示全部楼层
多谢指点,有空试试 flatten 方式。我现在还在做 vmacro, 还是有点问题。
发表于 2014-4-15 07:55:14 | 显示全部楼层
undo_eco();
setup_eco("cmp_eco");
read_library("/lib/hvt65.lib");
read_library("/lib/svt65.lib");
read_design("-imp", "/net/cmp_gen.v");
read_design("-ref", "/net/cmp_gen_syn.v");
read_library("-vmacro", "/net/vmacro0.v");
fix_modules("cmp_gen");
report_eco;
check_design();
write_verilog("cmp_gen_eco.v");
以上是脚本,大牛指点哪里有问题。ECO一直出错,E-067B
 楼主| 发表于 2014-4-15 11:15:33 | 显示全部楼层
顺序错了,应该是
read_library("/lib/hvt65.lib");
read_library("/lib/svt65.lib");
read_library("-vmacro", "/net/vmacro0.v");
read_design("-imp", "/net/cmp_gen.v");
read_design("-ref", "/net/cmp_gen_syn.v");
发表于 2014-4-16 09:55:02 | 显示全部楼层
啊,低级错误。现在好了,谢谢!
发表于 2014-4-16 16:45:18 | 显示全部楼层
多谢分享! 当下来学习学习!!
发表于 2014-4-17 13:15:07 | 显示全部楼层
本帖最后由 vjrao 于 2014-4-17 13:21 编辑

有没有一个简单的GOF脚本,把Netlist中的 NOR2BX1 都换成 NOR2X1+INVX1
NOR2BX1有一个Input是反向的。譬如,

NOR2BX1 U1(.AN(n10), .B(n11), .Y(n12);
转换成
INVX1 Uinv(.A(n10), .Y(n10inv));
NOR2X1 U1(.A(n10inv), .B(n11), .Y(n12));

NOR2BX1有成千上万个,没法手工做。
 楼主| 发表于 2014-4-18 11:20:53 | 显示全部楼层
试试这个
my @cells = get_cells("-hier", "-ref", "NAND2BX1");
foreach my $cell (@cells){
  my $net = get_net_of("$cell/AN");
  new_net("invof_$net", "INVX1", "", "$net");
  change_gate($cell, "NAND2X2", ".A(invof_$net)");
}
发表于 2014-4-21 13:14:26 | 显示全部楼层
谢谢这段code,本来想用纯Perl来实现,发现用GOF更方便。加了一个exist_wire检测,不然会出错
my @cells = get_cells("-hier", "-ref", "NAND2BX1");
foreach my $cell (@cells){
  my $net = get_net_of("$cell/AN");
  if(exist_wire("invof_$net")==0){  
      new_net("invof_$net", "INVX1", "", "$net");
   }
  change_gate($cell, "NAND2X2", ".A(invof_$net)");
}
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-30 11:49 , Processed in 0.076679 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表