在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3082|回复: 8

[讨论] [uvm] 能不能把sequence的trans直接送到scoreboard?

[复制链接]
发表于 2014-2-8 15:15:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
uvm可以不用monitor? 把sequence的trans直接送到scoreboard进行结果比较?感觉monitor和driver有重复。
发表于 2014-2-8 18:38:28 | 显示全部楼层
Q1:可以,

Q2:monitor有收集driver的output和response的能力,后者driver是不行的
发表于 2014-2-9 08:35:03 | 显示全部楼层
可以的,通过mailbox就可以
发表于 2014-2-9 17:09:56 | 显示全部楼层
回复 1# iyaowu


   可以,在seq加一个port,连到scbd就可以了
发表于 2014-2-10 11:05:36 | 显示全部楼层
TLM就行
发表于 2014-3-10 18:40:20 | 显示全部楼层
It's Everything I Hoped For!
发表于 2014-3-10 19:39:16 | 显示全部楼层
楼主好人...
发表于 2014-9-12 14:35:52 | 显示全部楼层
完全可以,TLM就能搞定,可能在sb中还需要缓存吧
发表于 2014-9-13 06:55:57 | 显示全部楼层
monitor与driver一起组成agent,在UVM中,agent级别的复用是最基本的复用。实现了monitor有助于实现代码复用。
另外,driver与monitor通常共用一组接口。
如果monitor和driver由不同的人来实现,通常可以检验双方对接口协议的理解是否一致。即使是同一个人来写,driver与monitor也会有不一样的收获的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 05:39 , Processed in 0.026382 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表