在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6047|回复: 6

[求助] 使用simvision察看ovm内的对象和信号

[复制链接]
发表于 2014-1-27 14:14:13 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
使用的irun-input 中的tcl脚本如下

ovm_set "*" "recording_detail" OVM_FULL -config
ovm_phase -stop_at run
run
probe -create -shm worklib.ovm_pkg:vm_top_levels -depth all
run -clean
save  debug -overwrite
run
exit

仿真结束后使用 simvision -snapshot worklib.debug:sv 提示找不到snapshot file : worklib.debug:sv
snapshot file是生成了的 ,为什么找不到呢,实了多个路径执行simvision -snapshot worklib.debug:sv 都不行,
请问大家遇到过这样的问题没?
发表于 2014-1-27 15:01:26 | 显示全部楼层
看一下log。。。
 楼主| 发表于 2014-1-27 15:37:32 | 显示全部楼层
回复 2# A1985
非常感激回复啊 。

ncsim> ovm_phase -stop_at run
Created stop 1
ncsim> run
OVM_INFO @ 0: reporter [RNTST] Running test imx_aclamp_auto_clamp_global_001...
*IRUN_INFO: LOCAL_FREQ SET 144.000000 Mhz
0 FS + 18 (stop 1: ovm_pkg:vm_phase_is_start = 1, ovm_pkg:vm_break_phase = run)
ncsim> probe -create -shm worklib.ovm_pkg:vm_top_levels -depth all
Created default SHM database ncsim.shm
Created probe 1
ncsim> run -clean
Ran until 0 FS + 19
ncsim>
ncsim> save  debug -overwrite
Message!     [SDI/Verilog]
    SDI/Verilog does not support save - no SDI information will be saved.
Current simulation time = 0
    "/tooltop/cadence/INCISIV/10.20.128/tools/ovm/ovm_lib/ovm_sv/sv/cdns_additions/cdns_recording.svh", 148: $sdi_create_fiber(name,t,scope);
Saved snapshot worklib.debug:sv
ncsim> run
......

上面是关键的log信息,红色部分是生成了snapshot file.

worklib所在路径:
INCA_libs  irun.lnx86.10.20.nc  irun.nc  worklib
xxxx-xxxx@login1-161% simvision -snapshot worklib.debug:sv
ippe: 10.20-s128: (c) Copyright 1995-2012 Cadence Design Systems, Inc.
ippe: *F,BADSNP: Unable to open the 'worklib.debug:sv' snapshot file..
报错打不开snapshot file.
发表于 2014-1-27 16:29:28 | 显示全部楼层
回复 3# huanguestc002

ncsim worklib.test:sv -cdslib ../INCA_libs/irun.nc/cds.lib -hdlvar ../INCA_libs/irun.nc/hdl.var[size=11.666666030883789px]或
[size=11.666666030883789px]simviiosn -wave直接打开波形。。
发表于 2016-11-22 16:37:15 | 显示全部楼层
irun(64): 13.20-p002: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
TOOL:   irun(64)        13.20-p002: Started on Nov 22, 2016 at 10:50:40 CST
irun
        -c
        -timescale 1ns/10ps
        counter.v
        counter_tb.v
        -l comp.log
file: counter_tb.v
        module worklib.counter_tb:v
                errors: 0, warnings: 0
                Caching library 'worklib' ....... Done
        Elaborating the design hierarchy:
        Top level design units:
                counter_tb
        Building instance overlay tables: .................... Done
        Generating native compiled code:
                worklib.counter_tb:v <0x77011fcf>
                        streams:   1, words:   750
        Building instance specific data structures.
        Loading native compiled code:     .................... Done
        Design hierarchy summary:
                                  Instances  Unique
                Modules:                  2       2
                Registers:                4       4
                Scalar wires:             3       -
                Always blocks:            2       2
                Initial blocks:           2       2
                Simulation timescale:  10ps
        Writing initial simulation snapshot: worklib.counter_tb:v
TOOL:   irun(64)        13.20-p002: Exiting on Nov 22, 2016 at 10:50:40 CST  (total: 00:00:00)

借宝地问下,小白一个,这个irun就是跑不出来,log文件如上
发表于 2016-11-22 16:38:06 | 显示全部楼层
irun(64): 13.20-p002: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
TOOL:   irun(64)        13.20-p002: Started on Nov 22, 2016 at 10:50:40 CST
irun
        -c
        -timescale 1ns/10ps
        counter.v
        counter_tb.v
        -l comp.log
file: counter_tb.v
        module worklib.counter_tb:v
                errors: 0, warnings: 0
                Caching library 'worklib' ....... Done
        Elaborating the design hierarchy:
        Top level design units:
                counter_tb
        Building instance overlay tables: .................... Done
        Generating native compiled code:
                worklib.counter_tb:v <0x77011fcf>
                        streams:   1, words:   750
        Building instance specific data structures.
        Loading native compiled code:     .................... Done
        Design hierarchy summary:
                                  Instances  Unique
                Modules:                  2       2
                Registers:                4       4
                Scalar wires:             3       -
                Always blocks:            2       2
                Initial blocks:           2       2
                Simulation timescale:  10ps
        Writing initial simulation snapshot: worklib.counter_tb:v
TOOL:   irun(64)        13.20-p002: Exiting on Nov 22, 2016 at 10:50:40 CST  (total: 00:00:00)
发表于 2017-2-21 16:36:38 | 显示全部楼层
请问楼主,问题解决了吗?我遇到了相同的问题。我感觉应该是出自UVM/OVM相关部分无法保存,但是我不确定,也不知道如何解决。请楼主指点
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 20:47 , Processed in 0.024227 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表