在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3491|回复: 10

[求助] UVM 新手请教问题

[复制链接]
发表于 2014-1-3 11:06:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
刚开始学习UVM,建了环境.
写了个最简单的interface
可是报了Error:
Systemverilog keyword 'interface' is not expected to be used in this context
请问什么问题哦?
怎么解决哦?
发表于 2014-1-3 11:41:57 | 显示全部楼层
是不是放在sv语法不允许的地方。。。
发表于 2014-1-3 12:18:38 | 显示全部楼层
在driver和monitor内定义的时候前面加virtual
 楼主| 发表于 2014-1-3 13:20:03 | 显示全部楼层
回复 2# A1985


    我单独写了个interface.sv 的文件,想专门来定义interface的
发表于 2014-1-3 13:27:49 | 显示全部楼层
应该是你没有加入sv进行编译引起的
 楼主| 发表于 2014-1-3 13:34:28 | 显示全部楼层
回复 5# dfb211


    确定有加sv编译的
发表于 2014-1-3 13:36:45 | 显示全部楼层
回复 6# jackyzhzh


   你看看编译顺序对不对,一般interface.sv是放在其他文件的前面进行编译,方便的话,你把报错的地方贴上来,给你看看
发表于 2014-1-3 13:39:26 | 显示全部楼层
回复 6# jackyzhzh

你不会是把interface封装在package中了吧?
 楼主| 发表于 2014-1-3 13:43:57 | 显示全部楼层
回复 8# dfb211


    恩,我是把interface 封装在package里面了.
 楼主| 发表于 2014-1-3 13:47:16 | 显示全部楼层
回复 7# dfb211


我把interface封装在package里面的,
我试了下你说的,把if.sv加在了在编译文件列表的最前面.
然后这样编译就可以ok了.

为什么在package里面include不行呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 21:39 , Processed in 0.034645 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表