在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1604|回复: 1

[求助] 虚接口的问题

[复制链接]
发表于 2013-11-7 23:13:05 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟弟 最近学习<<Systemverilog for Verification>>,第10章关于虚接口的,我把我的DUT和test用接口连接,test中申明了一个虚接口,然后和DUT链接的接口通过参数传递进来
program test(tt_if true_if);

virtual tt_if v_if=true_if;

。。。

endprogram

test中所有driver都是对v_if操作的。。

结果sim的时候报堆栈错误~~~
program test(tt_if v_if);

//virtual tt_if v_if=true_if;

。。。

endprogram
将接口该为如上方式,就好了~~我用的哪里有问题咩。。。求大神知道
发表于 2013-11-20 21:59:59 | 显示全部楼层
错误在v_if=true_if
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 22:30 , Processed in 0.017351 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表