在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4613|回复: 5

[求助] 求助:如何将config或者interface传递给sequence?

[复制链接]
发表于 2013-5-10 12:47:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位:
      最近在用UVM搭建验证环境,遇到一些中断的问题,需要将interface中一些信号引入sequence中。但是我不管是在sequence中直接定义virtual interface,还是把virtual interface装到config类中传递给sequence,都面临同样的问题,就是无法通过uvm_config_db传递这些配置给sequence。不知道这个问题该如何解决呢?
      嗯,具体描述一下,总共有三个sequence,因此,我使用了virtual sequencer来调度。需要引入virtual interface的是irq_sequence,目前我使用的是将virtual interface装到config类中,然后irq_sequence中申明该类。
      在我的test class中,我例化该config类,并给其内的virtual interface配置,然后再将该config类配置到sequence中。我是这样写的:
class test中:




  1. my_config=ir_config::type_id::create("my_config")
  2. if(!uvm_config_db(virtual ir_if)::get(this,"","irq_ir",my_config.irq_if))
  3.     `uvm_error("NO_IRQ_IF","interrupt virtual interface handle not found in test.my_config")
  4. uvm_config_object::set(this,"*","my_config",my_config);


复制代码

然后在irq_sequence的body中:




  1. if(!uvm_config_db#(ir_config)::get(null,get_full_name(),"my_config",my_config))
  2.     `uvm_error("BODY_IN_IR_SEQ","fail to get ir_config")


复制代码

最终运行的结果是error BODY_IN_IR_SEQ发生了。
发表于 2013-5-10 13:08:34 | 显示全部楼层
从top层点进去不行吗?或者在virtual sequencer中按照driver和monitor的方式把virtual interface传进来,然后在virtual sequence中用p_sequencer点到virtual sequencer中的interface。个人见解,可以试一下。
 楼主| 发表于 2013-5-10 13:34:24 | 显示全部楼层
1.从top层点进去不大行啊,因为我搞不清楚该sequence的层次。Error信息中print出来的get_full_name()的路径是:uvm_test_top.tb0.apb0.master.sequencer@@apb_irq_vdo_seq.irq_seq
可是中间有两个@怎么弄?
2.在virtual sequencer中直接引入virtual interface这个已经实现。没有问题。我这不是刚学UVM,多换着方法折腾一下么,总不能无论什么问题都一个方法搞定吧,多种办法就多种思路呀。
发表于 2013-5-10 14:45:17 | 显示全部楼层
同求结果
发表于 2013-5-10 16:47:06 | 显示全部楼层
如果你的interface是在top层instance的,比如instance的名字是vif_0。个人感觉可以在sequence中可以top.vif_0.int(假设int是interface上的中断信号名),具体还要你试一下。
还有,好像记得uvm_config_db这些只能用在由ovm_component extend出来的class,sequence中应该不行,所以会报error。
发表于 2014-6-21 11:14:41 | 显示全部楼层
我也遇到类似的问题,不知道如何解决啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-11 00:48 , Processed in 0.020546 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表