|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
由于条件不足,没有VCS想在windows下用modelsim跑systemverilog。但有如下代码用modelsim6.5D编译都不过,部分代码
- interface va7_if(input bit sclk);
- logic mode;
- logic set;
- logic sin;
- logic sout;
- logic [2:0] c_out;
- logic set_in;
- logic e_out;
- logic out;
- clocking cb @(posedge sclk);
- default input #1 output #1;
- input c_out;
- endclocking
- modport tb (
- output mode,
- output set,
- output sin,
- input sout,
- clocking cb,
- output set_in,
- input e_out,
- input out);
- endinterface
复制代码
- program automatic test(
- input bit resetb,
- va7_if.tb va[num_chip]);
- `include "../tb/environment.sv"
- environment env;
- ....
- end program
复制代码
- module top;
- bit sclk, resetb;
- time half_clk;
- initial begin
- #1ns;
- forever #(half_clk) sclk = ~sclk;
- end
- va7_if va[num_chip](sclk);
- test t(resetb, va);
- endmodule
复制代码
以上的三个模块代码是三个独立的文件va7_if.sv top.sv test.sv
modelsim提示的错误为
program automatic test(
input bit resetb,
va7_if.tb va[num_chip]);
总是说va "already decleared in this scope"
这个program中端口的参数为形参,即使与top.sv中的参数同名应该也没什么关系啊
我已经将modelsim中的compile option改成了use systemverilog,但还是不行
modelsim6.5D已经支持sv了,是我的这种写法有语法错误还是这种写法modelsim不认识 |
|