在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: oscillator_cn1

[求助] 关于用irun跑uvm

[复制链接]
发表于 2013-8-22 22:02:13 | 显示全部楼层
 楼主| 发表于 2013-8-23 09:08:54 | 显示全部楼层
本帖最后由 oscillator_cn1 于 2013-8-23 09:10 编辑

回复 11# tbb2009

差不多吧,改为ncvlog和ncsim就可以啦。
另外,我想他的意思是说,把uvm源代码所在的文件夹加入到incdir中,然后再编译。
发表于 2013-12-25 13:26:45 | 显示全部楼层
最后问题解决了吗?
 楼主| 发表于 2013-12-25 15:49:31 | 显示全部楼层
回复 13# uvmer

解决了,把库所在加入incdir中就可以了
发表于 2013-12-25 17:30:30 | 显示全部楼层
回复 14# oscillator_cn1


    OK,我也在搞这个。谢谢。
发表于 2014-7-22 09:59:55 | 显示全部楼层
每一个文件都要   
`include "uvm_macros.svh"
      import uvm_pkg::*;
发表于 2015-7-9 16:56:55 | 显示全部楼层
thanks for your reply
发表于 2015-7-11 23:19:43 | 显示全部楼层
thank you for sharing
发表于 2015-10-27 19:07:57 | 显示全部楼层
楼主怎么做到的啊?能把命令给我看看吗……纠结一天了,thank you~
发表于 2018-11-5 20:43:28 | 显示全部楼层
应该把具体的解决方法写一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 05:23 , Processed in 0.038677 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表