在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3425|回复: 10

哪位仁兄帮忙解释一下为什么我用quartus2仿真出来的阻塞和非阻塞一样呢?

[复制链接]
发表于 2011-9-17 09:51:36 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
代码:
今天在quartus下建立了一个工程,仿真程序如下:
程序1:仿真1--阻塞情况
module pipemult(product,mplier,mcand,go,clock);
input go,clock;
input[7:0] mplier,mcand;
output[15:0] product;

reg [15:0] product;
always@(posedge go)    //posedge of go
        product<=repeat(4)@(posedge clock)mplier*mcand; //?repeat(n) command line ?
endmodule
程序2:仿真2--非阻塞
module pipemult(product,mplier,mcand,go,clock);
input go,clock;
input[7:0] mplier,mcand;
output[15:0] product;

reg [15:0] product;
always@(posedge go)    //posedge of go
        product=repeat(4)@(posedge clock)mplier*mcand;
仿真的图片
新建 BMP 图像.JPG
 楼主| 发表于 2011-9-17 09:53:04 | 显示全部楼层
仿真结果去昂都一样,可是书上说应该是不同的,有些乘积在阻塞下是不出现的
发表于 2011-9-17 15:43:42 | 显示全部楼层
这2个在在独自的仿真里当然是一样的了。
发表于 2011-9-17 21:43:49 | 显示全部楼层
这么高深的,看不懂。才疏学浅啊
 楼主| 发表于 2011-10-26 20:00:37 | 显示全部楼层
回复 3# A1985


    独自仿真为什么一样??请解释一下,我是初学者,不太懂
发表于 2011-10-26 22:15:15 | 显示全部楼层
回复 5# zhengyu_0001

我看错了,应该是不一样的,仿真结果有问题。
发表于 2011-10-27 00:39:09 | 显示全部楼层
gaunxinyixia
发表于 2011-10-27 00:40:51 | 显示全部楼层
kuaisuxiazai
发表于 2011-10-27 00:41:50 | 显示全部楼层
还是缺少新元
发表于 2011-10-27 00:44:20 | 显示全部楼层
jixu cong
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 15:42 , Processed in 0.047142 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表