在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7174|回复: 13

[求助] Hspice网表中怎么样写一个三角波

[复制链接]
发表于 2011-5-31 09:29:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
主要是做D类功放时,不知道怎么在网表中产生三角波
谢谢各位帮解决下……
发表于 2011-5-31 18:42:35 | 显示全部楼层
可以用Verilog-A写
 楼主| 发表于 2011-5-31 21:39:52 | 显示全部楼层
我想写的网表导入到Hspice中进行仿真,不知道怎么写,谢谢
发表于 2011-6-1 11:03:14 | 显示全部楼层
vsign  vin 0 pwl(0 0 100u vcc 200u 0) 这个是一个周期的。
也可以用 pul(0 vcc 1n 0.5ts-0.5n 0.5ts-0.5n 1n ts)
 楼主| 发表于 2011-6-1 20:29:36 | 显示全部楼层




    谢谢,我想用连续的三角波,所以这个三角波肯定不止一个周期的,意思是能不能写一个在一段时间的三角波,比如周期为1us,能过产生连续时间为1s的三角波呢?因为我想用它做采样信号。谢谢你的回复……
发表于 2011-6-1 20:31:47 | 显示全部楼层
用VPULSE啊!
 楼主| 发表于 2011-6-1 20:36:23 | 显示全部楼层
回复 6# vensen


    怎么用,能具体点吗?谢谢啦
发表于 2011-6-6 08:35:24 | 显示全部楼层
回复 5# yangyuantian


    pul 那个是连续的。。
发表于 2011-6-6 13:16:05 | 显示全部楼层
*file: tri.sp
.options post
.param vn=-2V vp=2V td=2n tr=5n tf=5n tw=0n tp=10n**
VIN 3 0 PULSE (vn vp td tr tf tw tp)**脉冲宽度为0,上升+下降=周期
R1 3 0 50
.tran .05n 50n
.end
发表于 2011-6-6 15:30:37 | 显示全部楼层
grayshen 好方法
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-18 05:26 , Processed in 0.114005 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表