在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8188|回复: 27

32位无符号并行乘法器--VHDL代码

[复制链接]
发表于 2011-2-26 12:43:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
工作中要使用Vhdl由于是软件工程毕业的,所以接触很少,现在刚开始学习,请各位多指点

32乘法器VHDL代码.rar

1.18 KB, 下载次数: 188 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2011-3-1 14:35:58 | 显示全部楼层
回复 1# SevenxWasly
can you please provide this in verilog...thanks in advance
 楼主| 发表于 2011-3-1 20:48:45 | 显示全部楼层
回复 2# manu2110


   朋友,谢谢你对我帖子的关注,由于我是软件工程毕业的,对电子硬件的东西掌握的不多,所以学习也是因为工作的需要,由于工作中写的模块都是统一采用VHDL的,所以我没有学习Verilog,尽管它是最多被采用。
   不过现在有很多IP核心不用你自己去实现这些东西,除非一定要,不然就不用
发表于 2011-4-10 22:34:45 | 显示全部楼层
thanks
发表于 2011-4-11 11:17:16 | 显示全部楼层
回复 3# SevenxWasly


    thanks
发表于 2011-5-7 15:09:35 | 显示全部楼层
谢谢,很有用
发表于 2011-5-10 20:38:51 | 显示全部楼层
谢谢分享
发表于 2011-5-15 10:10:37 | 显示全部楼层
ding....
发表于 2011-6-29 23:13:29 | 显示全部楼层
回复 1# SevenxWasly


    谢谢楼主 谢谢
发表于 2011-6-29 23:15:03 | 显示全部楼层
回复 1# SevenxWasly

xiexiex 谢谢楼主
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 09:25 , Processed in 0.030717 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表