在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 26996|回复: 17

菜鸟来问:VCS与fsdb

[复制链接]
发表于 2009-11-27 12:23:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在tb中使用了$fsdbDumpfile和$fsdbDumpvars,可是用vcs综合的时候报错说:“Undefined system task
   Undefined System Task call to ‘$fsdbDumpile' ”
“Undefined system task
   Undefined Syestm Task call to ’$fsdbDumpvars' ”
有谁知道是怎么回事么?
发表于 2009-11-27 13:12:05 | 显示全部楼层
TB还需要综合吗
发表于 2009-11-27 13:26:00 | 显示全部楼层
呵呵,估计说错了,是把仿真说成综合了,这个需要结合Novas来做
发表于 2009-11-27 14:58:13 | 显示全部楼层
说明你的VCS不认识这个系统函数,你需要把你的VCS跟debussy的这个功能连接起来
 楼主| 发表于 2009-11-27 15:03:57 | 显示全部楼层
 楼主| 发表于 2009-11-27 15:13:31 | 显示全部楼层


说明你的VCS不认识这个系统函数,你需要把你的VCS跟debussy的这个功能连接起来
housong 发表于 2009-11-27 14:58


那么应该怎么连接起来呢?
发表于 2009-12-10 07:18:21 | 显示全部楼层
Use PLI
发表于 2009-12-10 11:31:45 | 显示全部楼层
yes. load debussy PLI
 楼主| 发表于 2009-12-16 12:41:59 | 显示全部楼层
唉,原来是广告。
发表于 2009-12-16 20:46:41 | 显示全部楼层
看一下VCS 的user guide,映像中有两行就好了,可以在.cshrc里设置LD_LIBRARY_PATH,或者在编译脚本里,链接XXXpli.so,记不清了,呵呵。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 23:14 , Processed in 0.028743 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表