在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
EETOP诚邀模拟IC相关培训讲师 创芯人才网--重磅上线啦!
查看: 4995|回复: 21

Digital Clocks for Synchronization and Communications

[复制链接]
发表于 2008-6-22 14:50:40 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Digital Clocks for Synchronization and Communications


If you need an in-depth understanding of the digital clock technologies used in building today??s telecommunications networks, this authoritative and practical book is a smart choice. Providing you with critical details on the PLL (phase-locked Loop) technique for clock synchronization and generation, and the DDS (direct digital synthesizer) technique for clock generation, the book helps you achieve synchronization in high-speed networks and frequency stabilization in portable equipment.

Covering both wired and wireless networks, the book looks at the combination of circuits and systems to give you a more thorough understanding of important design requirements. You learn important phase lock circuit design techniques, and how to select commercially available equipment for your projects in the field. A comprehensive presentation of frequency generation helps you make system/circuit design fluent and efficient. The book includes over 165 illustrations and 146 equations that support major topics.

Digital Clocks for Synchronization and Communications.pdf

2.27 MB, 下载次数: 114 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2008-6-22 22:41:53 | 显示全部楼层
thanks a lot
发表于 2008-6-23 06:28:14 | 显示全部楼层

Digital Clocks for Synchronization and Communications

3Q very much . i hope that i found those books
发表于 2008-7-7 06:46:18 | 显示全部楼层
Thanks you for share the theory about clock and digital communication
发表于 2008-7-10 11:27:56 | 显示全部楼层

                               
登录/注册后可看大图
发表于 2008-7-10 11:34:46 | 显示全部楼层
ding hao
发表于 2008-7-10 14:31:38 | 显示全部楼层
Thanks very much!
发表于 2008-12-7 13:33:16 | 显示全部楼层
thanks
发表于 2009-2-25 22:31:17 | 显示全部楼层
Thanks~~~
发表于 2009-2-25 22:55:52 | 显示全部楼层
thanks~~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 22:42 , Processed in 0.041156 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表