在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[讨论] TI ads1278项目开发 nini816 2021-7-27 01258 nini816 2021-7-27 11:10
[讨论] ICV floating psub error 新人帖 rdemirci 2021-9-22 01173 rdemirci 2021-9-22 16:14
[讨论] 比DesignWare DW01_add 更快的加法器? 新人帖 没蚊子 2021-9-18 01662 没蚊子 2021-9-18 13:57
[讨论] Visio中如何自动编号 1oveyy 2022-1-16 01385 1oveyy 2022-1-16 21:22
[讨论] WFQ调度算法 新人帖 zhanglin814725 2022-1-26 01335 zhanglin814725 2022-1-26 14:24
[讨论] debussy使用问题 zl138764 2022-4-7 01269 zl138764 2022-4-7 23:17
悬赏 [讨论] 废贴,请求版主删除。 - [阅读权限 10]- [悬赏 20 信元资产] luoyanghero 2022-5-30 0190 luoyanghero 2022-6-5 07:09
[讨论] 数字芯片架构的设计空间搜索方法 新人帖 Leopold-Fitz 2022-7-28 01333 Leopold-Fitz 2022-7-28 10:45
[讨论] 关于US_8ths的设置 attach_img m8510a 2022-8-30 01423 m8510a 2022-8-30 09:39
[讨论] 有了解赛灵思TSN IP的吗? 菜鸟要飞 2022-9-5 01292 菜鸟要飞 2022-9-5 11:15
[讨论] 有朋友用过verisilicon的isp么,感觉好用么,PPA、IQ什么的 工大鱼肉 2022-10-27 01189 工大鱼肉 2022-10-27 09:45
[讨论] 知名央企在找芯片设计工程师,稳定,不卷,薪酬有竞争力 Backer 2022-11-14 01302 Backer 2022-11-14 19:52
[讨论] synchronous loop 黄焖鸡 2023-2-23 01094 黄焖鸡 2023-2-23 13:59
[讨论] 智能计算系统的载体,数字和模拟拿一个前景更好? 硅下亡魂 2023-2-25 01077 硅下亡魂 2023-2-25 12:07
[讨论] AHB VS AXI 硅下亡魂 2023-3-10 01188 硅下亡魂 2023-3-10 13:17
[讨论] 请问CIC滤波器的长度是什么? 荒何 2023-4-6 01008 荒何 2023-4-6 11:36
[讨论] 寄存器堆&门控时钟 fatal404 2023-4-18 0951 fatal404 2023-4-18 09:32
[讨论] 问一个关于pipeline的问题 devindang 2023-4-26 01081 devindang 2023-4-26 09:53
[讨论] 请问有做过用锐化函数处理的滤波器吗 荒何 2023-5-6 0931 荒何 2023-5-6 11:49
[讨论] 删除 dodoee 2023-5-6 0931 dodoee 2023-5-6 15:26
[讨论] DDR5 DUAL channel scutlee 2023-8-8 0589 scutlee 2023-8-8 15:04
[讨论] DDR和SDIMM scutlee 2023-8-8 0580 scutlee 2023-8-8 19:30
[讨论] ExostivLabs FPGA 调试工具 xiaoxiaochen 2023-8-23 0493 xiaoxiaochen 2023-8-23 00:06
[讨论] DFI5.1协议兼容DFI5.0协议吗 scutlee 2023-9-1 0550 scutlee 2023-9-1 15:58
[讨论] 可以看90%以上的IP源码 quenii 2023-9-7 0752 quenii 2023-9-7 15:28
[讨论] 设置了WLM之后,Net Interconnect area很大,正常吗? bupt_tian 2023-11-23 0371 bupt_tian 2023-11-23 22:47
[讨论] 有用过S家的ddrc吗?? scutlee 2024-2-19 0404 scutlee 2024-2-19 14:51
[讨论] 前端的朋友做后仿都遇到什么问题?? scutlee 2024-3-2 0281 scutlee 2024-3-2 19:01
[讨论] ddr的时序约束有什么要注意的地方??? scutlee 2024-3-16 0183 scutlee 2024-3-16 15:11
[讨论] ddr的时序约束有什么特别注意的地方 scutlee 2024-3-18 0188 scutlee 2024-3-18 10:56
[讨论] ddr的pin是有什么特别注意的地方 scutlee 2024-3-18 0180 scutlee 2024-3-18 10:57
[讨论] 回片之后测试都遇到什么问题?? scutlee 2024-3-22 0256 scutlee 2024-3-22 22:42
[讨论] DDR PHY的clock latency有什么要求不?? scutlee 2024-3-23 0168 scutlee 2024-3-23 11:13
[讨论] 弄错 新人帖 jy7 2024-4-11 0221 jy7 2024-4-11 12:01
[讨论] use macro parama has not been defined scutlee 2024-4-24 087 scutlee 2024-4-24 13:48
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 17:31 , Processed in 0.023469 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块