在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (124) |订阅

后端资料区 今日: 4 |主题: 5692|排名: 6 

[资料] 经典书籍 数字VLSI芯片设计—使用Cadence和Synopsys(中/英) attachment  ...23456..18 shiqingziyang 2012-11-18 17636884 cmmjava 2024-3-16 15:34
[求助] 布线时候遇到的 illegal fatcontact attach_img DMBDYQ 2024-3-15 0179 DMBDYQ 2024-3-15 17:14
[资料] Innovus user Guide 21.13 新人帖 attachment  ...2 harveyan 2023-7-11 141690 zhoufengfan 2024-3-15 11:47
[资料] DC student guide 的中文翻译 attach_img  ...23456..13 my3651 2017-4-27 12429121 silent_speak 2024-3-15 08:34
[资料] VCS MX Document attachment  ...23456 hvc777888 2011-4-16 5617780 gogo16888 2024-3-14 21:29
悬赏 [求助] 有无innovus中write_flow_template输出的setup.tcl中参数的说明文档? 新人帖 - [悬赏 100 信元资产] attachment 3597511704 2024-3-14 2326 3597511704 2024-3-14 11:19
[资料] Hspice user guide 2013版 attachment  ...2 jtianen 2014-6-1 154991 kyyyyyykimo 2024-3-14 09:59
[资料] encounter EDI替代工具 innovus user guide V15.1 attachment  ...23456..22 xiaohe8696 2016-12-10 21348731 cmmjava 2024-3-14 01:32
[资料] Tempus最新user guide attachment blaton 2023-7-25 5822 daicq 2024-3-13 16:02
最使用的calibre资料-----配置与手册 attachment  ...23456..14 stephen-dong 2009-5-25 13231496 shinny 2024-3-12 16:55
[资料] dwc_32g_phy_tsmc16ffc_x4ns_databook  ...23 deepakec028 2021-7-25 233535 tunsanty 2024-3-12 14:07
[资料] 硬件架构的艺术 数字电路设计的方法和技术.PDF attach_img  ...23456..25 my3651 2017-6-21 24946612 品博锦取_2021 2024-3-12 10:40
[资料] 周润德翻译 数字集成电路:电路系统与设计(第二版) attachment  ...23456..8 1027199631 2016-10-11 7518330 品博锦取_2021 2024-3-12 10:39
[资料] ICC 2018-06 attachment  ...23 lidongze 2020-1-14 227628 cmmjava 2024-3-12 01:24
[资料] ICC 资料 attachment  ...23456..15 zhanggd 2015-7-28 14937574 cmmjava 2024-3-12 01:12
[资料] Innovus Text Command Reference Product Version 19.10 attachment  ...23 lizhibin8718 2021-4-14 287316 cmmjava 2024-3-11 18:55
[资料] IC后端的一些经验总结附赠资料 attach_img  ...23456..15 yhji1334551882 2022-8-19 14413869 zkmhust 2024-3-11 15:18
[资料] 最新版的PT的userguide,2018年6月刚出的 attach_img  ...23456..9 SpunkyGG 2018-9-5 8320429 xbuilder 2024-3-11 14:28
[求助] 求starRC 2014版本的UG及相关文档。 attachment  ...23 gubo1 2017-7-13 227410 xbuilder 2024-3-11 14:22
著名高校CMOS集成电路制造讲义(55页PPT) attachment  ...2 cslroy 2008-3-24 148080 esign 2024-3-11 11:19
[资料] 数字电子技术基础 第6版 attach_img  ...23 qtds1258 2022-7-26 273350 王昀麒 2024-3-9 14:05
[资料] starrc_vO-2018.06-SP1安装包 attach_img  ...2345 moonforest 2020-12-3 439799 1973 2024-3-9 10:43
[资料] 《Vivado从此开始 进阶篇》 attachment  ...23 艾艾小阳 2022-2-20 214220 hclin 2024-3-9 01:16
[资料] 关于calibre LVS command的一点说明 attachment  ...23 aabc198209 2014-9-5 224985 hw1997 2024-3-8 21:24
calibre verification user manual attachment  ...2345 westpower 2009-9-21 469462 fjdu2007 2024-3-8 16:34
[资料] Innovus Foundation Flow to Stylus Flowkit Migration Guide attach_img  ...23 jake 2023-2-7 223105 fjdu2007 2024-3-8 16:33
[资料]  Mentor Graphics Calibre 2017 docs,manual,help汇总 attachment weichanghe2001 2020-11-19 31434 hebut_wolf 2024-3-8 14:14
[资料] 陈春章-数字集成电路物理设计 新人帖 attachment  ...2 lfzhang 2022-4-22 112667 品博锦取_2021 2024-3-8 10:44
[资料] K库工具liberate手册 新人帖 attachment  ...2345 落水清风 2019-6-5 4613872 爱在低调 2024-3-7 19:02
[原创] 晶圆库存清理 新人帖 15069583185 2024-3-7 0265 15069583185 2024-3-7 16:26
[求助] 跪求T28 STA Signoff文档 IC.Michael 2024-1-8 2532 wdmzjjdeb 2024-3-7 11:32
悬赏 [求助] 求虚拟机→innovus资源包以及安装流程 新人帖 - [已解决] lizebin_17 2024-3-6 1441 xiexie57 2024-3-7 10:57
[原创] DisplayPort Transmit Controller attach_img  ...2 iamtony 2022-2-5 101600 Saralala 2024-3-7 10:55
[资料] IEEE-1801 2018版&&2015版 attachment  ...23 ic_traveller 2020-12-16 246828 scottpeng 2024-3-7 08:47
[资料] 学习用laker画layout的资料,带一份工艺文件 attachment  ...23456..7 xinchen 2011-4-29 6315060 binghesk 2024-3-5 14:46
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 19:36 , Processed in 0.028595 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块