在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 9 |主题: 6535|排名: 12 

请教各位功耗测试仿真的问题 animalheart 2008-6-17 22733 animalheart 2008-6-18 13:47
紧急求助 sdl10150820 2008-5-31 12647 meshnet 2008-6-10 18:03
emc测试 hao2007 2008-6-6 02522 hao2007 2008-6-6 17:12
拜求探针卡(Probe Card)相关资料! angkorlang 2008-6-4 13281 angkorlang 2008-6-5 16:04
dts-1000测试项目的时候所选择的量程 hutou 2008-5-29 010806 hutou 2008-5-29 23:22
紧急求助 skyking 2008-5-24 22929 aprice 2008-5-29 16:32
请问现在常见封装的价位? animalheart 2008-3-17 73310 zhukh 2008-5-18 16:15
高手来解惑:如何计算仿真时间???????????? wangsheng0415 2007-9-28 43725 wangsheng0415 2008-5-13 16:38
如何写时钟模块才比较规范合理,大侠给个标准模板吧 feitengyu 2008-5-7 02455 feitengyu 2008-5-7 18:52
这个版怎么这么冷清亚 chyzhj 2007-9-17 74777 cslroy 2008-3-22 12:57
有做过nand tree 测试的吗?请教几个问题。 binbin4756 2008-3-18 16920 binbin4756 2008-3-19 10:42
关于Diva的验证规则 peterlau1984 2007-11-23 13858 aero-fox 2008-3-16 22:40
数字信号处理、计算、程序、 attachment henry1981525 2007-12-4 53346 jianglf123 2008-3-14 21:48
请教:整机生产企业怎么做存储器件的测试啊? worthwhile 2008-2-15 13531 dracuula 2008-2-17 14:04
请教:如何提高SpectreVerilog的混合仿真速度 ai520520 2007-10-25 24534 rxf992 2008-2-10 21:04
【求助】ModelSim的后仿问题 EE0926 2008-1-20 05475 EE0926 2008-1-20 11:00
请教大家关于Pspice仿真 kxw102 2008-1-13 03269 kxw102 2008-1-13 09:38
[请问] system verilog3.1a 和IEEE1800-2005的system verilog有什么不同 feiying_cq 2007-12-13 03717 feiying_cq 2007-12-13 19:37
请问有没有关于FPGA构架的书 ycbxbxb 2007-12-7 04510 ycbxbxb 2007-12-7 08:34
Cadence 原理图验证 peterlau1984 2007-11-23 04052 peterlau1984 2007-11-23 11:08
关于cadence中dracula的一些问题 chenxin19344834 2007-11-12 16308 tianxiaotong 2007-11-13 14:09
有人用specman来做验证吗? meseasky 2007-10-13 06481 meseasky 2007-10-13 16:00
移动:菜鸟来问:什么是IIC的master oscillator_cn1 2011-1-12 -43 匿名 1970-1-1 08:00
移动:一道笔试题 xiaocanmeng 2010-10-21 -45 匿名 1970-1-1 08:00
[求助] 求助:关于使用VMT_VIP对AXI_MASTER验证的问题 sunhui_asic 2012-10-31 04237 匿名 1970-1-1 08:00
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-30 21:25 , Processed in 0.034656 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块