在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 315|回复: 6

[讨论] 可以用clock来做一些逻辑吗??

[复制链接]
发表于 2024-8-9 15:10:53 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
always@(posedge fast_clk)

A<= slow_clk

像这种??
发表于 2024-8-13 18:13:07 | 显示全部楼层
跨时钟域约束写好就可以
发表于 2024-8-15 08:49:23 | 显示全部楼层
逻辑功能可行,但是综合和APR时序难处理
发表于 2024-8-16 10:12:16 | 显示全部楼层


出尘入世 发表于 2024-8-15 08:49
逻辑功能可行,但是综合和APR时序难处理


时钟和复位做寄存器的D端好像都挺难处理的,请问有什么方法吗

发表于 2024-8-16 13:33:04 | 显示全部楼层


zhaozhety 发表于 2024-8-13 18:13
跨时钟域约束写好就可以


跨时钟域约束注意什么原则,一般怎么约束呀
发表于 2024-8-29 17:03:59 | 显示全部楼层


jinfeier 发表于 2024-8-16 13:33
跨时钟域约束注意什么原则,一般怎么约束呀


时序不重要的话,直接设置false path

发表于 2024-9-3 15:11:55 | 显示全部楼层
clock-as-data信号与其他信号没有实质区别,只需要通过约束set_clock_sense -logical_stop_propagation打断时钟属性在该路径上的传播。此信号作为时钟与capture时钟是同步关系就是正常地对这个信号作为数据的同步约束;如果两个时钟是异步关系,在实际电路上就不可能满足同步约束,因此当然可以设置false path(在综合时也可能选择不设置)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 11:27 , Processed in 0.018193 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表