在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2883|回复: 8

[求助] uvm ral frontdoor read数据返回一直是0

[复制链接]
发表于 2021-10-12 11:54:13 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 乒乓 于 2021-10-12 12:06 编辑

image.png
前门写操作没有问题,前门读数据是0,从波形上看read值是正确的,但是read task返回值始终是0,有人知道可能是什么原因吗?
定位情况:
apb_monitor里调用write之后,打印的transaction数据正确;
adapter里bus2reg结束前,打印的transaction数据正确;
现在怀疑是adapter到ral model之间哪里出了问题。奇怪的是log里bus2reg比monitor先打印
333ef1ff85a2f3c32c39e61f7af8e4d.png
image.png
image.png
image.png


image.png
发表于 2021-10-13 12:11:44 | 显示全部楼层
1.检查下register model 里该寄存器的access type是不是RC(read clear)的? 因为执行了bug2reg后还需要执行predicate相关的操作,如果写成了RC,register model的值会被更新为0,你看到的值也就是零,
也可简单的加上set_auto_predict(0) 以关掉predicate功能看下效果
发表于 2021-10-14 16:01:32 | 显示全部楼层
env或testcase中设置set_auto_predict(1)试试,driver将读取的值返回后,寄存器模型会更新寄存器的镜像值和期望值,这个功能通过寄存器模型的auto predict实现。用法参考:rm.default_map.set_auto_predict(1)。
 楼主| 发表于 2021-10-15 09:59:04 | 显示全部楼层


jiaxiaoxu1 发表于 2021-10-14 16:01
env或testcase中设置set_auto_predict(1)试试,driver将读取的值返回后,寄存器模型会更新寄存器的镜像值和 ...


这个功能已经开启了
发表于 2021-10-15 10:41:11 | 显示全部楼层


乒乓 发表于 2021-10-15 09:59
这个功能已经开启了


设成0 有看到效果吗?
 楼主| 发表于 2021-10-18 10:52:16 | 显示全部楼层


eaglezhang01 发表于 2021-10-15 10:41
设成0 有看到效果吗?


还是读不出来
发表于 2021-10-29 10:40:39 | 显示全部楼层
bus2reg补充rw.byte_en='hf;试试
发表于 2022-11-22 17:21:17 | 显示全部楼层
您好,请问您解决这个问题了吗?我遇到了和您同样的问题,前门读出寄存器模型的数值一直是0
 楼主| 发表于 2022-12-13 18:25:20 | 显示全部楼层


小 发表于 2022-11-22 17:21
您好,请问您解决这个问题了吗?我遇到了和您同样的问题,前门读出寄存器模型的数值一直是0 ...


没搞定,已弃
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 15:25 , Processed in 0.021649 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表