在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1155|回复: 1

[原创] 向makefile编写的仿真脚本中传递定向的随机种子

[复制链接]
发表于 2021-4-6 14:02:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
code:driver.sv
class driver;
//data members:
   bit[3:0] a;
   bit[3:0] b;
   constraint a_cons{
         a > 10;
         a < 15;
   }

   constraint b_cons{
         b > 1;
         b < 10;
   }

//main program:
   extern virtual task my_display();
endclass

task driver::my_display();
    $display("a : %0d", a);
    $display("b : %0d", b);
endtask

code: test.sv
program automatic test();
   driver drv=new();
   initial begin
         if(drv.randomize() == 1)begin
            drv.my_display();
         end
         else begin
            $display("random failed!");
         end
   end
endprogram

code:makefilerun:
vcs -timescale=1ns/1ps -sverilog driver.sv test.sv

sim:
./simv +ntb_random_seed=$(SEED)

终端执行手顺:
>make run
>make sim SEED="100" //将随机种子设置成100
>make sim SEED="99" //将随机种子设置成99
发表于 2021-4-7 13:30:07 | 显示全部楼层
支持楼主~!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-29 13:00 , Processed in 0.016391 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表