在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4696|回复: 3

[求助] UVM平台,如何在makefile里面得到随机的种子数值,求大神解答。。感谢

[复制链接]
发表于 2017-5-8 11:27:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
想要在UVM平台上多次跑用例,使用不同的种子。请问如何能把随机数获取,并赋值到编译选项里。
发表于 2017-5-23 09:57:06 | 显示全部楼层
可以用Makefile调用cshell脚本,cshell脚本里面比如用vcs仿真工具,则编译命令:
set RANDOMSEED = `date +%N`
vcs +ntb_random_seed=$RANDOMSEED ......
发表于 2017-5-23 16:26:00 | 显示全部楼层
一般来说,仿真的执行层次是:脚本->makefile->vcs/nc。seed的产生应该在脚本里完成,然后通过makefile传递给仿真器。seed必须支持3中方式:0,指定值和随机值,其中随机值可以对当前时间加密来完成。
发表于 2023-11-1 18:03:22 | 显示全部楼层


maodou 发表于 2017-5-23 09:57
**** 作者被禁止或删除 内容自动屏蔽 ****


能具体说下么


您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-27 20:31 , Processed in 0.022056 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表