在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1490|回复: 1

[求助] sv使用dpi遇到的问题

[复制链接]
发表于 2017-3-22 09:45:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位好,我在modelsim上可以运行uvm框架,最近想试一下dpi,测试了一个简单的程序,modelsim报错 Can't locate a C/C++ compiler for 'DPI Export Compilation'.,请问怎么解决呢,谢谢!
发表于 2017-3-22 11:34:21 | 显示全部楼层
看起来是没找到C语言的编译器。查一下文档看有没有在modelsim使用的相关说明。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 01:34 , Processed in 0.037640 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表