在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: 天涯_0

[求助] systemVerilog中logic与bit的区别问题

[复制链接]
发表于 2016-12-8 10:29:47 | 显示全部楼层
回复 10# haiyi198712

做仿真原来能编译过就好了
发表于 2016-12-15 00:51:46 | 显示全部楼层
logic是4态的,bit是2态的
顶层用logic定义端口是因为logic在有多驱动的情况下会报错,这样可以检查出代码中的多重赋值。其他的一般都能用bit

个人理解
发表于 2016-12-15 17:19:36 | 显示全部楼层
也遇到了这种情况,学习了
发表于 2016-12-15 22:09:25 | 显示全部楼层
1.bit是二值变量,logic是四态变量(0,1,X,Z),四态变量比二值变量在内存中多占一倍的存储空间。
2.连接DUT端口尤其输出端口的变量用logic,因为如果被测设计试图产生X或X,二值变量是没法捕获到。
发表于 2016-12-19 20:17:53 | 显示全部楼层
logic是4态;bit是2态。
具体怎么使用,一定要考虑场景。乱用数据类型,容易在仿真中引入莫名其妙的问题,定位起来怪麻烦。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 22:31 , Processed in 0.015774 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表